Part Number Hot Search : 
P6KE51C 12A01 HMC1132 12A01 74HCT 70012 6P28P 8550250
Product Description
Full Text Search
 

To Download HD6432147 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 
1. 1. 2. 3. (http://www.renesas.com) 4. 5. 6. 7. 8.
ADJ-602-155C(H)
H8S/2148 H8S/2144 TM H8S/2148F-ZTAT H8S/2147N F-ZTATTM H8S/2144F-ZTATTM H8S/2142F-ZTATTM
H8S/2148 H8S/2147 H8S/2147N H8S/2144 H8S/2143 H8S/2142
H8S/2148 H8S/2144F-ZTATTM
HD6432148SW, HD6432148S, HD64F2148, HD64F2148V, HD64F2148A, HD64F2148AV HD6432147SW, HD6432147S, HD64F2147A, HD64F2147AV HD64F2147N, HD64F2147NV HD6432144S, HD64F2144, HD64F2144V, HD64F2144A, HD64F2144AV HD6432143S HD6432142, HD64F2142R, HD64F2142RV
H8S/2144 H8S/2142F-ZTATTM 1997 2001 7 11 1 4 H8S/2148F-ZTATTM H8S/2147N F-ZTATTM
(c)
1997
1. 2.
3. 4.
5.
6. 7. 8.
LSI
32
H8S/2000 CPU
LSI
ROM RAM 16 WD T 2 SCI HIF P WM IrDA D/A R OM PS/2 DAC
FRT P WM
8 P WMX
TMR
A/D
ADC
I/O
F -ZTATTM*
* F-ZTATTM
H8S/2148
H8S/2144
H8S/2147N
H8S/2148
H8S/2144
H8S/2147N
H8S/2600
H8S/2000
C PU
CPU H8 S/2 60 0 H8 S/2 00 0
B.
I/O
H8S/2148
H8S/2144
H8S/2147N
H8S/2148
H8S/2144
H8S/2147N
H8S/2600
H8S/2000
ADJ-602-112
C/C++
ADJ-702-303
Windows UNIX Hitachi Embedded Workshop
ADJ-702-163 ADJ-702-109 ADJ-702-275
C/C++ H8S F-ZTAT Q&A Q&A
ADJ-502-051 ADJ-502-065 ADJ-502-055
H8S /2148
H8S /2144
H8S /2147N H8 S/2 60 0 H8 S/2 00 0
* F-ZTATTM
Flexible-ZTAT
H8S/2148 H8S/2148 2147
H8S/2147N H8S/2147N
H8S/2144 H8S/2144 2143 2142
BSC
16
16
16
DTC 8 14 16 FRT 8 TMR 4 3 3 PWM PWM PWM PWMX 16 2 1 16 2 1 2 1
WDT
2 3
2 3
2 3
SCI I 2C IIC 2 3 PS/2 HIF D/A A/D A/D 4 2 8 16 4 2 8 16 2 8 16 2 3
1.
1.1 1.2 1.3.3
7 8 26 32 1.5 VCL SCK0 SCK2 P52
P50 P97 P90
2.
CPU
2.6.1
52
2.1
*4 *5
2.6.2
54
2.2 *2 *3
2.6.3
56
2.3
*
58 2.10 3. MCU 3.2.2 SYSCR 3.2.3 BCR 3.2.4 STCR 3.5 95 105 91 90 82 88
2.4
*
7
6
10
75
10
H8S/2148F-ZTAT A H8S/2147FZTAT A
4.
4.3 4.6
115 118 4.6 SP
5.
5.1.1
121
ICR
5.1.2
122
5.1
5.1.4
123 *3
5.2
5.2.2 A C ICRA ICRC 5.2.5 5.2.6 KMIMR 5.2.7 KMIMRA 5.3.1 IRQ ISR
125
128 130
70
131
70
135
2 IRQ7 IRQ0 0
IRQ6
5.3.2
136
PC
5.3.3
137
5.4 1 PC PC
5.5.1
142 143 5.6
5.5.2 5.5.3
0 1
145 147
3 5.9 1
6.
6.2.2 WSCR 6.3.4 I/O DTC
164
7
168 205
6.4 7.4
IOS DTC DTCE
7. DTC 8. I/O
7.3.3
8.1
220
8.1
H8S/2148 2 23
223
8.2 2
H8S/2147N 23
8.
I/O
8.8 8.12.3
7
258 279
8.15 8.26
7 B PB3/D3/CS4
PB0/D0/HIRQ3 9. 8 PWM PWM 10. 14 PWM PWMX 11 16 11.3.9 ICRD OCRDM 343 11.16 10.1.4 300 10.2 *2 9.1.4 285 9.2
FRT
11.6
349
11.21
OCRAR/OCRAF
12.
8
12.2.6 STCR 12.3.6
369
74
3
TMR
380 381 407 13.2 PWM
13.
13.3.1 PWM PDC 13.3.3 8
408 409 13.5 TCR TCR 43 7 TCSR TMR1
14. WDT
14.2.2 TCSR 14.5.6 OVF
426
437 442 502 15.22 IrDA
15.
15.1.1 15.3.5 IrDA SCI IrDA
16 I C IIC
2
16.2.5 I 2C ICCR 16.2.7 STCR
528
1
IRIC1
I 2C 3
537
3
17.
17.1.4 17.2.4 MSTPCR
571 577
17.2
18.
18.5
612
19.
D/A
19.3
620
19.2
D/A
20.
A/D
20.2.3 A/D ADCR
631
50
21. 22.
RAM ROM
21.3.2 22.4.3
651 660 22.3
22.6.1
676
3
e
22.10.1 22.11
688 700 1
22.12
F-ZTAT
ROM
702
23.
ROM
23.4.2
709
23.2
23.4.3
710
23.3
24.
24.1.1 24.9
753 763 771 781
24.1
25.
25.1.1 25.5.1
25.3 25.4 MSTP2 MSTP
25.10.1 26. 26.3.3 AC
788 844 26.25 I 2C SCL SDA
A.
A.1
925
A.1
*
A.2 A.4
934 950 A.5
* *
A.5 B. I/O B.2
958 975
A.6 PCSR SYSCR2 H8S/2144
*
975
H'FF94 H'FF95
B.
I/O
B.2
976
H'FF98 H'FF99 H'FF9A H'FF9B H'FF9C H'FF9D
B.3
992 1004
H'FEEB H'FF86 H'FF87 MSTP2
1034 C. I/O C.2 2 1061 1063 C.4 4 1065 1071 C.5 5 1072 1074 C.8 8 1081 1086 C.9 9 1087 1089 1091 C.10 A 1092 1094 C.11 B 1095 1097 G. 1103 G.1
H'FFC4 IOS C.2 C.3 C.4 2
C.6 C.7 C.8 C.9 C.10 C.11 C.12 4 C.13 C.14 C.15 5
C.23 C.24 C.25 C.26 C.27 C.28 8 C.29 C.30 C.31 C.33 9
C.34 C.35 C.36
A
C.37 C.38 C.39
B
H8S/2148
H8S/2144
1
1.1 1.2 1.3 ...........................................................................................................................................3 ...........................................................................................................................8 ................................................................................................................................... 11 1.3.1 1.3.2 1.3.3 .............................................................................................. 11 ....................................................................... 14 .................................................................................................. 26
2
2.1
CPU
......................................................................................................................................... 35 2.1.1 2.1.2 2.1.3 2.1.4 ........................................................................................................ 35 H8S/2600 CPU H8/300 CPU H8/300H CPU H8S/2000 CPU ................................................ 36
............................................................................. 37 .......................................................................... 37
2.2 2.3 2.4
CPU
........................................................................................................................ 38 ............................................................................................................................ 43 ............................................................................................................................ 44 2.4.1 2.4.2 2.4.3 2.4.4 CPU ........................................................................................................ 44 ........................................................................................... 45 .............................................................................. 46 ....................................................................... 48
2.5
............................................................................................................................... 49 2.5.1 2.5.2 ....................................................................... 49 .......................................................................... 51
2.6
............................................................................................................................... 52 2.6.1 2.6.2 ........................................................................................................ 52 ................................................ 54
2.6.3 2.6.4 2.6.5 2.7 2.7.1 2.7.2 2.8
.................................................................................... 55 .......................................................................... 64 .................................................................... 65 ....................................................................... 66 .............................................................................. 66 .......................................................................... 70
................................................................................................................................... 74 2.8.1 2.8.2 2.8.3 2.8.4 2.8.5 2.8.6 ........................................................................................................ 74 ........................................................................................... 75 ........................................................................................... 76 ................................................................................. 77 ........................................................................................ 78 ........................................................................................ 78 .................................................................................................................. 79 2.9.1 2.9.2 2.9.3 2.9.4 ........................................................................................................ 79 ROM RAM ..................................................................... 79 ................................................... 80 ...................................................... 81
2.9
2.10
............................................................................................................................ 82 2.10.1 2.10.2 TAS ................................................................................................. 82 ........................................................................................ 82
STM/LDM
3
3.1
MCU
......................................................................................................................................... 85 3.1.1 3.1.2 .................................................................................... 85 ........................................................................................... 86 ..................................................................................................................... 87 3.2.1 3.2.2 3.2.3 3.2.4 MDCR ................................................... 87 ............................................... 88
3.2
SYSCR BCR
.......................................................... 90 STCR ....................................... 91
3.3 3.3.1 3.3.2 3.3.3 3.4 3.5
.................................................................................................................. 93 1................................................................................................... 93 2................................................................................................... 93 3................................................................................................... 93 ................................................................................................. 94 ................................................................................................. 95
4
4.1 ....................................................................................................................................... 109 4.1.1 4.1.2 4.1.3 4.2 ........................................................................ 109 ...................................................................................... 110 .............................................................. 110
................................................................................................................................. 112 4.2.1 4.2.2 4.2.3 ...................................................................................................... 112 ............................................................................... 112 ............................................................................ 114
4.3 4.4 4.5 4.6
.................................................................................................................................... 115 .......................................................................................................................... 116 ................................................................................................... 117 ............................................................................................................. 118
5
5.1 ....................................................................................................................................... 121 5.1.1 5.1.2 5.1.3 5.1.4 5.2 5.2.1 5.2.2 5.2.3 5.2.4 5.2.5 5.2.6 5.2.7 5.2.8 5.2.9 5.3 AB C IRQ IRQ IRQ ISR IER ...................................................................................................... 121 ............................................................................................ 122 ................................................................................................ 122 ......................................................................................... 123 ................................................................................................................... 124 SYSCR AC ............................................. 124 ICRA ICRC ..................... 125
............................................................. 126 H L ISCRH ISCRL ...................... 127
............................................................. 128 KMIMR KMIMRA ABRKCR BARA ........................ 130 ...................... 130
............................. 132 .............. 133
BARB BARC
............................................................................................................................. 134 5.3.1 5.3.2 5.3.3 ............................................................................................ 134 ............................................................................................ 136 .............................................................. 137 ................................................................................................................... 139 5.4.1 5.4.2 ...................................................................................................... 139 ............................................................................................ 139
5.4
5.4.3 5.4.4 5.5
................................................................................................ 140 ......................................................................................... 140
............................................................................................................................. 142 5.5.1 5.5.2 5.5.3 5.5.4 5.5.5 .............................................................. 142 0 ................................................................................ 145 1 ................................................................................ 147 ..................................................................... 150 ...................................................................................... 151
5.6
.......................................................................................................................... 152 5.6.1 5.6.2 5.6.3 EEPMOV ................................................. 152 ..................................................................... 153 ................................................................. 153
5.7
DTC 5.7.1 5.7.2 5.7.3
........................................................................................................ 154 ...................................................................................................... 154 ............................................................................................ 154 ................................................................................................ 155
6
6.1 ....................................................................................................................................... 159 6.1.1 6.1.2 6.1.3 6.1.4 6.2 6.2.1 6.2.2 6.3 6.3.1 6.3.2 6.3.3 6.3.4 6.4 6.4.1 6.4.2 6.4.3 6.4.4 6.4.5 I/O ...................................................................................................... 159 ............................................................................................ 160 ................................................................................................ 161 ......................................................................................... 161 ................................................................................................................... 162 BCR ........................................................ 162 WSCR ................................. 163
....................................................................................................................... 166 ................................................................................................ 166 ............................................................................... 167 ...................................................................................... 167 .................................................................................... 168
......................................................................................................... 169 ...................................................................................................... 169 ........................................................ 169 ...................................................................................... 171 ...................................................................................... 172 ......................................................................................... 180
6.5
ROM 6.5.1 6.5.2 6.5.3
................................................................................................ 182 ...................................................................................................... 182 ...................................................................................... 182 ......................................................................................... 183 ................................................................................................................... 184
6.6 6.6.1 6.6.2 6.7 6.7.1 6.7.2 6.7.3
................................................................................................ 184 .............................................................. 185 ......................................................................................................... 186 ...................................................................................................... 186 ................................................................................................ 186 ............................................................................ 187
7
7.1 7.1.1 7.1.2 7.1.3 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.2.7 7.2.8 7.2.9 7.3
DTC
H8S/2148
....................................................................................................................................... 191 ...................................................................................................... 191 ............................................................................................ 191 ......................................................................................... 193 ................................................................................................................... 194 DTC DTC DTC DTC DTC DTC DTC DTC A CRA B CRB A MRA B MRB ............................................................. 194 ............................................................. 196 SAR .................................................... 197 DAR ............................... 197
.................................................... 197 .................................................... 198 ...................................................... 198
DTCER DTVECR
.......................................................... 199 MSTPCR .......................... 200
................................................................................................................................. 201 7.3.1 7.3.2 7.3.3 7.3.4 7.3.5 7.3.6 7.3.7 7.3.8 7.3.9 DTC ...................................................................................................... 201 ................................................................................................ 202 .............................................................................. 204 ................................................. 206 ...................................................................................... 207 ...................................................................................... 208 ............................................................................... 209 ......................................................................................... 210 ...................................................................................... 211
7.3.10 7.3.11 7.3.12 7.4 7.5
DTC DTC DTC
.............................................................................. 212 ........................................................................................ 213 ............................................................................................ 214
.................................................................................................................................... 216 .......................................................................................................................... 216
8
8.1 8.2
I/O
....................................................................................................................................... 219 1.................................................................................................................................. 227 8.2.1 8.2.2 8.2.3 8.2.4 ...................................................................................................... 227 ......................................................................................... 228 .................................................................................. 230 MOS.............................................................................. 231
8.3
2.................................................................................................................................. 232 8.3.1 8.3.2 8.3.3 8.3.4 ...................................................................................................... 232 ......................................................................................... 233 .................................................................................. 235 MOS.............................................................................. 237
8.4
3.................................................................................................................................. 238 8.4.1 8.4.2 8.4.3 8.4.4 ...................................................................................................... 238 ......................................................................................... 239 .................................................................................. 241 MOS.............................................................................. 242
8.5
4.................................................................................................................................. 243 8.5.1 8.5.2 8.5.3 ...................................................................................................... 243 ......................................................................................... 243 ................................................................................................ 244
8.6
5.................................................................................................................................. 248 8.6.1 8.6.2 8.6.3 ...................................................................................................... 248 ......................................................................................... 248 ................................................................................................ 250
8.7
6.................................................................................................................................. 251 8.7.1 8.7.2 8.7.3 8.7.4 ...................................................................................................... 251 ......................................................................................... 252 ................................................................................................ 254 MOS.............................................................................. 257
8.8
7.................................................................................................................................. 258
8.8.1 8.8.2 8.8.3 8.9
...................................................................................................... 258 ......................................................................................... 258 ................................................................................................ 259
8.................................................................................................................................. 260 8.9.1 8.9.2 8.9.3 ...................................................................................................... 260 ......................................................................................... 260 ................................................................................................ 261
8.10
9.................................................................................................................................. 264 8.10.1 8.10.2 8.10.3 ...................................................................................................... 264 ......................................................................................... 265 ................................................................................................ 266
8.11
A ................................................................................................................................. 269 8.11.1 8.11.2 8.11.3 8.11.4 ...................................................................................................... 269 ......................................................................................... 269 ................................................................................................ 271 MOS.............................................................................. 274
8.12
B ................................................................................................................................. 275 8.12.1 8.12.2 8.12.3 8.12.4 ...................................................................................................... 275 ......................................................................................... 276 ................................................................................................ 278 MOS.............................................................................. 280
9
9.1
8
PWM
PWM H8S/2148
H8S/2147N
....................................................................................................................................... 283 9.1.1 9.1.2 9.1.3 9.1.4 ...................................................................................................... 283 ............................................................................................ 284 ................................................................................................ 285 ......................................................................................... 285 ................................................................................................................... 286 9.2.1 9.2.2 9.2.3 9.2.4 9.2.5 9.2.6 9.2.7 1 2 PWM PWM PWM PWM PWSL PWDR0 .......................................................... 286 PWDR15 PWDPRA ........................................... 289 PWDPRB ....................... 289 ............ 290
9.2
PWOERA PWOERB
PCSR ................................................ 291 P1DDR P2DDR ................................ 292 ................................ 292
9.2.8 9.2.9 9.2.10 9.3 PWM 9.3.1
1 2
P1DR P2DR
.......................................................... 292 .......................................................... 293 MSTPCR .......................... 293
................................................................................................................. 294 PWM ........................................ 294
10
10.1
14
10.1.1 10.1.2 10.1.3 10.1.4
PWM
PWMX
....................................................................................................................................... 299 ...................................................................................................... 299 ............................................................................................ 299 ................................................................................................ 300 ......................................................................................... 300 ................................................................................................................... 301 10.2.1 10.2.2 10.2.3 10.2.4 PWM D/A PWM D/A D/A DACNT AB ......................................................... 301 B .............................................. 302 ....................................... 303 MSTPCR .......................... 305
10.2
DADRA
DACR
10.3 10.4
............................................................................................... 307 ................................................................................................................................. 310
11
11.1
16
11.1.1 11.1.2 11.1.3 11.1.4
FRT
...................................................................................................... 315 ............................................................................................ 316 ................................................................................................ 317 ......................................................................................... 318 ................................................................................................................... 319 11.2.1 11.2.2 11.2.3 11.2.4 11.2.5 11.2.6 11.2.7 11.2.8 11.2.9 FRC ........................................................ 319 OCRA OCRB ICRD ......................... 319 ............................ 320 ................. 321
....................................................................................................................................... 315
11.2
AB
A D ICRA AR AF
OCRAR OCRAF
DM OCRDM TIER
..................................... 322 ................................... 322 ............................... 325
TCSR
TCR ..................................................... 329 TOCR ................. 332
11.2.10 11.3
MSTPCR
.......................... 335
................................................................................................................................. 336 11.3.1 11.3.2 11.3.3 11.3.4 11.3.5 11.3.6 11.3.7 11.3.8 11.3.9 OCRA ICRD OCRAR OCRAF OCRDM ICFA OCFA OVF FRC FRC ..................................................................... 336 .................................................... 337 ........................................................................ 338 .................................................... 338 D B ................ 341 .............. 341 .......................... 342 ................................... 342 ........................................ 343
11.4 11.5 11.6 FRT
............................................................................................................................. 344 .......................................................................................................................... 345 .......................................................................................................................... 346
12
12.1
8
12.1.1 12.1.2 12.1.3 12.1.4
TMR
...................................................................................................... 355 ............................................................................................ 356 ................................................................................................ 357 ......................................................................................... 358 ................................................................................................................... 359 12.2.1 12.2.2 12.2.3 12.2.4 12.2.5 12.2.6 12.2.7 12.2.8 12.2.9 12.2.10 12.2.11 TMRX 12.2.12 12.2.13 C R S TCNT ...................................................................... 359 A TCORA B TCORB ............................................. 360 ............................................. 361
....................................................................................................................................... 355
12.2
TCR ..................................................... 362 TCSR STCR SYSCR TCONRS TICR TCORC F ............................... 365
..................................... 369
............................................. 370 ........................................... 371 TMRX TMRX .................. 371 ............... 372
TICRR TICRF
............................................................................... 372 TISR TMRY MSTPCR ........... 373 .......................... 374
12.3
................................................................................................................................. 375
12.3.1 12.3.2 12.3.3 12.3.4 12.3.5 12.3.6 12.4 12.5 12.6 8
TCNT
.................................................................. 375 ..................................................................... 376
TCNT OVF
............................................................ 378 .................................... 378
........................................................................ 378 ..................................................................... 380
............................................................................................................................. 382 .......................................................................................................... 383 .......................................................................................................................... 384 12.6.1 12.6.2 12.6.3 12.6.4 12.6.5 TCNT TCNT TCOR AB .................................................. 384 .................................................. 385 ................................................. 385 .................................................................. 386 TCNT ................................................. 387
13
13.1 13.1.1 13.1.2 13.1.3 13.1.4 13.2 13.2.1 13.2.2 13.2.3 13.2.4 13.2.5 13.3
H8S/2148
....................................................................................................................................... 391 ...................................................................................................... 391 ............................................................................................ 392 ................................................................................................ 393 ......................................................................................... 394 ................................................................................................................... 395 I TCONRI O TCONRO S SEDGR TCONRS ............................................. 395 .......................................... 397 ........................................... 399
.......................................................... 401 MSTPCR .......................... 404
................................................................................................................................. 406 13.3.1 13.3.2 13.3.3 13.3.4 13.3.5 13.3.6 13.3.7 13.3.8 13.3.9 HSYNCO VSYNCO CBLANK 8 IHI IVI IHG 2fH PWM PDC CL1 CL2 ............................................................ 406 CL3 ..................................... 407
............................................................ 408 ................................................................... 410 IHI IVG .......................................... 411 CL4 ................ 413
........................................................................................ 415 ........................................................................................ 416 ........................................................................................ 417
14
14.1 14.1.1 14.1.2 14.1.3 14.1.4 14.2 14.2.1 14.2.2 14.2.3 14.2.4 14.3
WDT
....................................................................................................................................... 421 ...................................................................................................... 421 ............................................................................................ 422 ................................................................................................ 424 ......................................................................................... 424 ................................................................................................................... 425 TCNT ...................................................................... 425 TCSR SYSCR ............................... 425
............................................. 429
..................................................................... 429
................................................................................................................................. 431 14.3.1 14.3.2 14.3.3 14.3.4 RESO OVF .................................................... 431 ........................................................ 433 .................................... 433
...................................................................... 434
14.4 14.5
.................................................................................................................................... 435 .......................................................................................................................... 435 14.5.1 14.5.2 14.5.3 14.5.4 14.5.5 ............................................................................................ 436 14.5.6 OVF .............................................................................. 437 RESO CKS2 CKS0 TCNT .................... 435 .............................................................. 435 ...... 436 ..................................................... 436
15
15.1 15.1.1 15.1.2 15.1.3 15.1.4 15.2 15.2.1 15.2.2 15.2.3 15.2.4
SCI IrDA
....................................................................................................................................... 441 ...................................................................................................... 441 ............................................................................................ 442 ................................................................................................ 444 ......................................................................................... 445 ................................................................................................................... 446 RSR RDR ........................................................... 446 ........................................................... 446 TSR .................................................. 447 TDR ................................................. 447
15.2.5 15.2.6 15.2.7 15.2.8 15.2.9 15.2.10 15.2.11 15.3
SMR
........................................................... 448 SCR ................................................. 451 ..................................................... 455
SSR BRR
.............................................................. 459 SCMR ................................. 468 .......................... 469 .................. 470
MSTPCR
KBCOMP
................................................................................................................................. 472 15.3.1 15.3.2 15.3.3 15.3.4 15.3.5 IrDA ...................................................................................................... 472 ..................................................................... 474 ..................................................................... 485 .............................................................. 492 ............................................................................................... 501
15.4 15.5
SCI
.............................................................................................................................. 504 .......................................................................................................................... 505
16
16.1
I2C
IIC H8S/2148
16.1.1 16.1.2 16.1.3 16.1.4
H8S/2147N
....................................................................................................................................... 511 ...................................................................................................... 511 ............................................................................................ 512 ................................................................................................ 514 ......................................................................................... 515 ................................................................................................................... 516 16.2.1 16.2.2 16.2.3 16.2.4 16.2.5 16.2.6 16.2.7 16.2.8 16.2.9 DDC DDCSWR
2
16.2
I2C
ICDR SAR
........................................................... 516 ........................................................ 518 ............................................... 520
2 IC I2C IC
2
SARX ICMR
........................................................... 521 ICCR .................................................. 524
ICSR
..................................................... 530 STCR ..................................... 536
...................................................... 537 MSTPCR .......................... 540
16.3
................................................................................................................................. 541 16.3.1 16.3.2 16.3.3 I2C ................................................................... 541 ...................................................................................... 543 ...................................................................................... 545
16.3.4 16.3.5 16.3.6 16.3.7 16.3.8 16.3.9 16.3.10 16.3.11 16.4 DTC IRIC
.................................................................................. 547 .................................................................................. 549 SCL I2C .......................................................... 551 ................ 552
..................................................................................... 553 ...................................................................................... 554 ................................................................................................... 555 .................................................................................. 558
.......................................................................................................................... 560
17 H8S/2148
17.1 17.1.1 17.1.2 17.1.3 17.1.4 17.2 17.2.1 17.2.2 17.2.3 17.2.4 17.3
H8S/2147N
....................................................................................................................................... 569 ...................................................................................................... 569 ............................................................................................ 570 ................................................................................................ 571 ......................................................................................... 571 ................................................................................................................... 572 H KBCRH ...................................... 572
L KBCRL ....................................... 575 KBBR ........................................ 576 MSTPCR .......................... 577
................................................................................................................................. 578 17.3.1 17.3.2 17.3.3 17.3.4 17.3.5 17.3.6 17.3.7 17.3.8 17.3.9 KCLK KCLKI KDI ................................................................................................ 578 ................................................................................................ 579 ......................................................................................... 582 .............................................................. 584 ............................................................ 584 KCLK ....................................................... 585
KCLKO KDO KBF
...................................................................................... 586 ............................................................... 587 ......................................................................................... 588
18
18.1 18.1.1
H8S/2148
H8S/2147N
....................................................................................................................................... 591 ...................................................................................................... 591
18.1.2 18.1.3 18.1.4 18.2 18.2.1 18.2.2 18.2.3 18.2.4 18.2.5 18.2.6 18.2.7 18.3
............................................................................................ 592 ................................................................................................ 593 ......................................................................................... 594 ................................................................................................................... 595 SYSCR 2 ............................................. 595 ......................................... 596 HICR IDR 1 ............................ 598
SYSCR2
................................................................... 599 ............................................................... 599 .................................................................. 600 MSTPCR .......................... 602
ODR STR
................................................................................................................................. 603 18.3.1 18.3.2 18.3.3 18.3.4 GATE .................................................................. 603 .................................................................................. 605 A20 ........................................................................................... 606 ....................................... 608
18.4
............................................................................................................................. 610 18.4.1 18.4.2 IBF1 IBF2 IBF3 IBF4 ....................................................................... 610
HIRQ11 HIRQ1 HIRQ12 HIRQ3 HIRQ4............................................ 610
18.5
.......................................................................................................................... 612
19
19.1
D/A
....................................................................................................................................... 615 19.1.1 19.1.2 19.1.3 19.1.4 ...................................................................................................... 615 ............................................................................................ 615 ................................................................................................ 616 ......................................................................................... 616 ................................................................................................................... 617 19.2.1 19.2.2 19.2.3 D/A D/A 0 1 DADR0 DADR1 DACR ........................................ 617
19.2
..................................................... 617 MSTPCR .......................... 619
19.3
................................................................................................................................. 620
20
20.1
A/D
....................................................................................................................................... 623 20.1.1 ...................................................................................................... 623
20.1.2 20.1.3 20.1.4 20.2 20.2.1 20.2.2 20.2.3 20.2.4 20.2.5 20.3 20.4
............................................................................................ 624 ................................................................................................ 625 ......................................................................................... 626 ................................................................................................................... 627 A/D A/D A/D ADCR A D ADDRA ADDRD ..................................... 627
ADCSR ................................ 628 ..................................................... 630 KBCOMP MSTPCR .................. 631
.......................... 633
............................................................................................... 634 ................................................................................................................................. 635 20.4.1 20.4.2 20.4.3 20.4.4 SCAN SCAN A/D 0 1 ................................................................. 635 ................................................................. 637 ........................................................... 639 ..................................................................... 640
20.5 20.6
.................................................................................................................................... 641 .......................................................................................................................... 641
21
21.1
RAM
....................................................................................................................................... 649 21.1.1 21.1.2 ............................................................................................ 649 ......................................................................................... 649 SYSCR .............................................................................. 650
21.2 21.3
................................................................................................................................. 651 21.3.1 21.3.2 1 2 3 EXPE 1 2 3 ........................................... 651 ................................ 651
EXPE 0
22
ROM
ROM H8S/2148 F-ZTAT H8S/2147N F-ZTAT H8S/2144 F-ZTAT H8S/2142 F-ZTAT
............................................................................................ 655 ......................................................................................... 655
22.1
....................................................................................................................................... 655 22.1.1 22.1.2
22.2
....................................................................................................................... 656 22.2.1 MDCR ................................................. 656
22.3 22.4
................................................................................................................................. 657 ......................................................................................................... 658 22.4.1 22.4.2 22.4.3 22.4.4 22.4.5 ...................................................................................................... 658 ............................................................................................ 659 .............................................................. 660 ................................................................................................ 664 ......................................................................................... 664 ......................................................................................... 665 22.5.1 22.5.2 22.5.3 22.5.4 1 2 1 2 FLMCR1 FLMCR2 ........................... 665 ........................... 667
22.5
EBR1 EBR2 .................................... 669 STCR ..................................... 670
22.6 22.6.1 22.6.2 22.7 22.7.1 22.7.2 22.7.3 22.7.4 22.8 22.8.1 22.8.2 22.8.3 22.9 22.10 22.10.1 22.10.2 22.10.3 22.10.4 22.10.5 22.10.6 22.10.7 22.10.8 22.10.9 22.10.10 22.11 22.12 F-ZTAT ROM
............................................................................................ 671 ......................................................................................... 672 ........................................................................ 678 ......................................................................................... 679 .................................................................................. 679 .................................................................. 680 ...................................................................................... 682 ..................................................................... 682 ............................................................................................... 685 ........................................................................ 685 ........................................................................ 685 .................................................................................. 686 ................................................................. 687 ............................................................................................ 688 ............................................................................... 688 ........................................................... 688 ............................................................................... 689 ............................................................................ 691 ............................................................................... 694 ...................................................................................... 696 ..................................................................... 697 ............................................................................ 698 ..................................................................... 699 ........................................................................ 699 ........................................................................... 700 ....................................................................... 702
23
ROM
H8S/2148 F-ZTAT A H8S/2147 F-ZTAT A H8S/2144 F-ZTAT A
............................................................................................ 705 ......................................................................................... 705
23.1
....................................................................................................................................... 705 23.1.1 23.1.2
23.2
....................................................................................................................... 706 23.2.1 MDCR ................................................. 706
23.3 23.4
................................................................................................................................. 707 ......................................................................................................... 708 23.4.1 23.4.2 23.4.3 23.4.4 23.4.5 ...................................................................................................... 708 ............................................................................................ 709 .............................................................. 710 ................................................................................................ 714 ......................................................................................... 714 ......................................................................................... 715 23.5.1 23.5.2 23.5.3 23.5.4 1 2 1 2 FLMCR1 FLMCR2 ........................... 715 ........................... 717
23.5
EBR1 EBR2 .................................... 719 STCR ..................................... 720
23.6 23.6.1 23.6.2 23.7 23.7.1 23.7.2 23.7.3 23.7.4 23.8 23.8.1 23.8.2 23.8.3 23.9 23.10 23.10.1 23.10.2 23.10.3 23.10.4
............................................................................................ 721 ......................................................................................... 722 ........................................................................ 728 ......................................................................................... 729 .................................................................................. 729 .................................................................. 730 ...................................................................................... 732 ..................................................................... 732 ............................................................................................... 734 ........................................................................ 734 ........................................................................ 734 .................................................................................. 735 ................................................................. 736 ............................................................................................ 737 ............................................................................... 737 ........................................................... 737 ............................................................................... 738 ............................................................................ 740
23.10.5 23.10.6 23.10.7 23.10.8 23.10.9 23.10.10 23.11 23.12 F-ZTAT ROM
............................................................................... 743 ...................................................................................... 745 ..................................................................... 746 ............................................................................ 747 ..................................................................... 748 ........................................................................ 748 ........................................................................... 749 ....................................................................... 750
24
24.1 ....................................................................................................................................... 753 24.1.1 24.1.2 24.2 24.2.1 24.2.2 24.3 ............................................................................................ 753 ......................................................................................... 753 ................................................................................................................... 754 SBYCR LPWRCR ......................................... 754 ....................................... 755
.................................................................................................................................... 756 24.3.1 24.3.2 ..................................................................... 756 .................................................................. 758 ................................................................................................................ 761 ................................................................................................................ 761 ................................................................................................... 761 ............................................................................................................. 761 ...................................................................................................... 762 ................................................................................................................... 763
24.4 24.5 24.6 24.7 24.8 24.9
25
25.1 ....................................................................................................................................... 767 25.1.1 25.2 25.2.1 25.2.2 25.2.3 25.2.4 25.3 25.4 ......................................................................................... 771 ................................................................................................................... 772 SBYCR LPWRCR ......................................... 772 ....................................... 774 ............................... 776 .......................... 777
TCSR
MSTPCR
............................................................................................................................. 778 ....................................................................................................................... 779
25.4.1 25.4.2 25.5 25.5.1 25.5.2 25.6 25.6.1 25.6.2 25.6.3 25.6.4 25.6.5 25.7 25.7.1 25.7.2 25.8
...................................................................................... 779 ............................................................................ 779 ...................................................................................................... 780 ..................................................................... 780 ......................................................................................... 781 ............................................................................................... 782 .............................................................. 782 .................................................... 782 ................... 783 ................................................. 783 ......................................................................................... 784 ............................................................................................... 785 .............................................................. 785 .......................................... 785
....................................................................................................................... 786 25.8.1 25.8.2 ...................................................................................... 786 ............................................................................ 786 ................................................................................................................ 787 25.9.1 25.9.2 ............................................................................... 787 ..................................................................... 787 ............................................................................................................. 788 25.10.1 25.10.2 ............................................................................ 788 .................................................................. 788
25.9
25.10
25.11
................................................................................................................................. 789 25.11.1 ...................................................................................... 789
26
26.1 26.2 H8S/2148 F-ZTAT 26.2.1 26.2.2 26.2.3 26.2.4 26.2.5 26.2.6 26.2.7 26.3 H8S/2148 F-ZTAT (A DC AC A/D D/A ................................................................................................................ 793 ............................................................................................... 796 ......................................................................................... 796 ................................................................................................. 797 ................................................................................................. 810 ......................................................................................... 817 ......................................................................................... 819 ............................................................................ 820 ......................................................................................... 822 ) H8S/2147 F-ZTAT (A ) H8S/2148 ROM
H8S/2147 26.3.1 26.3.2 26.3.3 26.3.4 26.3.5 26.3.6 26.3.7 26.4
ROM
..................................................................................... 823 ......................................................................................... 823 DC AC A/D D/A ................................................................................................. 825 ................................................................................................. 837 ......................................................................................... 845 ......................................................................................... 847 ............................................................................ 848 ......................................................................................... 850 ............................................................................................. 851 ......................................................................................... 851 DC AC A/D D/A ................................................................................................. 852 ................................................................................................. 860 ......................................................................................... 868 ......................................................................................... 870 ............................................................................ 871 ......................................................................................... 873 ROM ........................... 874
H8S/2147N F-ZTAT 26.4.1 26.4.2 26.4.3 26.4.4 26.4.5 26.4.6 26.4.7
26.5
H8S/2144 F-ZTAT H8S/2142 F-ZTAT H8S/2142 26.5.1 26.5.2 26.5.3 26.5.4 26.5.5 26.5.6 26.5.7 DC AC A/D D/A
......................................................................................... 874 ................................................................................................. 875 ................................................................................................. 882 ......................................................................................... 886 ......................................................................................... 888 ............................................................................ 889 ......................................................................................... 891 ) H8S/2144 ROM H8S/2143 ROM
26.6
H8S/2144 F-ZTAT (A
............................................................................................................................. 892 26.6.1 26.6.2 26.6.3 26.6.4 26.6.5 26.6.6 26.6.7 26.7 DC AC A/D D/A ......................................................................................... 892 ................................................................................................. 893 ................................................................................................. 901 ......................................................................................... 905 ......................................................................................... 907 ............................................................................ 908 ......................................................................................... 910
....................................................................................................................... 911 26.7.1 26.7.2 26.7.3 26.7.4 AC .................................................................................... 911 ............................................................................... 911 ............................................................................... 912 ...................................................................................... 913
26.7.5
.............................................................. 916
A.
....................................................................................................................................... 923 A.1 A.2 A.3 A.4 A.5 ...................................................................................... 923 ...................................................................................... 934 .................................................................. 944 ............................................................................... 948 ............................................................................ 958 .................................................................................................................... 968 B.1 B.2 B.3 ......................................................................................... 968 .................................................................................. 974 ................................................................................................ 981 ..........................................................................................................1060 C.1 C.2 C.3 C.4 C.5 C.6 C.7 C.8 C.9 C.10 C.11 1 2 3 4 5 6 7 8 9 A B ..............................................................................1060 ..............................................................................1061 ..............................................................................1064 ..............................................................................1065 ..............................................................................1072 ..............................................................................1075 ..............................................................................1080 ..............................................................................1081 ..............................................................................1087 ..............................................................................1092 ..............................................................................1095
B.
I/O
C.
I/O
D.
................................................................................................................................1098 D.1 ..........................................................1098 .........................................1100 E.1 E.2 ...................................1100 ............................1100 .......................................................................................................................1101 F.1 F.2 ROM ROM ..............................................1101 .........................................................................1102
E.
F.
ROM
G. H.
................................................................................................................................1103 ............................................................................................................................1105
1.
1
1.1 1.2 1.3 ...........................................................................................................................................3 ...........................................................................................................................8 ................................................................................................................................... 11 1.3.1 1.3.2 1.3.3 .............................................................................................. 11 ....................................................................... 14 .................................................................................................. 26
1.
2
1.
1.1
H8S /2148 H8S /2144 H8S /2000 C PU MCU H8S /2000 C PU 32 16 16 16M H8/300 H8/300H C PU H8/300 H8/300L H8/300H H8S /2147N
DTC R OM TMR R AM 16 WDT 2 S CI HIF I/O IIC ROM 64k F-ZTATTM *1 ROM CPU 16 1 ROM 128k 96k D/A PWM P S/2 DAC A/D I2C ADC F RT 8
PWM PWMX
13
H8S/2148 *1 F-ZTAT
H8S/2144
H8S/2147N
1.1
3
1.
1.1
1
CPU 16 8 16 16 32 8
20MHz 5V 10MHz 3V
8 16 32 16 16 32 16
50ns 1000ns 1000ns
20MHz
20MHz 20MHz
65 8 16 32
2
CPU 64k 16M
3
MCU
2
3
DTC
H8S/2148
1
DTC
CPU
4
1.
1.1
2
16 FRT 1 8 2 TMR0 TMR1 TMR 1
16 2 4
1
8 2 2 FRT TMR1 TMRX TMRY
8 2 TMRX TMRY
TMRX
TMR
FRT TMR1 FRT TMR1 TMRX FRT TMRX TMRY
H8S/2148
FRT TMRY
WDT 8 PWM
H8S/2148 H8S/2147N
2 16 0 100 1 256
1
1.25MHz 20MHz 2 1 16384 312.5kHz 20MHz
14 PWMX
SCI
2
SCI0 SCI1 IrDA 1 SCI2 IrDA TxD RxD IrDA 1.0 SCI
5
1.
1.1
3
PS/2 PS2) 3
H8S/2148 H8S/2147N
8
8 HIF
H8S/2148 H8S/2147N
ISA HIRQ11 HIRQ1 HIRQ12 HIRQ3 HIRQ4 GATE A20
5
4 2
A/D 8
10
16 6.7 s 20MHz
A/D D/A 8 2 I/O 74 8 VCCB H8S/2147N ROM RAM ROM H8S/2144 H8S/2148 H8S/2143 H8S/2142 H8S/2147 H8S/2147N 128k 96k 64k RAM 4k 4k 2k 8 H8S/2148 24 LED
9 44 3
NMI IRQ0 IRQ7
6
1.
1.1
4
100 100
I2C
QFP
FP-100B
TQFP TFP-100B IC
2
Philips 2
IIC
H8S/2148 H8S/2147N
2
* ROM F-ZTATTM
ROM
RAM
H8S/2148 HD6432148S HD64F2148 128 HD6432148SW* HD64F2148A HD64F2147A 64k HD6432147S HD6432147SW* H8S/2147N HD64F2147N 64k HD64F2144 H8S/2144 HD6432144S 128k HD64F2144A 96k HD6432143S HD64F2142R 64k HD6432142 *1 W I2C * 3V
4k 2k 2k 4k 4k 2k
FP-100B TFP-100B
7
1.
1.2
H8S /2148 1.1 (b) H8S/2144 1 .1 (a ) H8S /2147N 1.1 (c)
VCC1 VCC2 (VCL)
VSS VSS VSS VSS VSS
RES XTAL EXTAL VCCB MD1 MD0 NMI STBY RESO P97/WAIT/SDA0 P96/ /EXCL P95/AS/IOS/CS1 P94/HWR/IOW P93/RD/IOR P92/IRQ0 P91/IRQ1 P90/LWR/IRQ2/ADTRG/ECS2 P67/TMOX/CIN7/KIN7/IRQ7 P66/FTOB/CIN6/KIN6/IRQ6 P65/FTID/CIN5/KIN5 P64/FTIC/CIN4/KIN4/CLAMPO P63/FTIB/CIN3/KIN3/VFBACKI P62/FTIA/CIN2/KIN2/VSYNCI/TMIY P61/FTOA/CIN1/KIN1/VSYNCO P60/FTCI/CIN0/KIN0/HFBACKI/TMIX P47/PWX1 P46/PWX0 P45/TMRI1/HIRQ12/CSYNCI P44/TMO1/HIRQ1/HSYNCO P43/TMCI1/HIRQ11/HSYNCI P42/TMRI0/SCK2/SDA1 P41/TMO0/RxD2/IrRxD P40/TMCI0/TxD2/IrTxD SCI P52/SCK0/SCL0 P51/RxD0 P50/TxD0 IIC 2 3 ) (IrDA 1 8 D/A
PA7/A23/KIN15/CIN15/PS2CD PA6/A22/KIN14/CIN14/PS2CC PA5/A21/KIN13/CIN13/PS2BD PA4/A20/KIN12/CIN12/PS2BC PA3/A19/KIN11/CIN11/PS2AD H8S/2000 CPU
A
PA2/A18/KIN10/CIN10/PS2AC PA1/A17/KIN9/CIN9 PA0/A16/KIN8/CIN8 P27/A15/PW15/CBLANK P26/A14/PW14 P25/A13/PW13 P24/A12/PW12 P23/A11/PW11 P22/A10/PW10 P21/A9/PW9 P20/A8/PW8 P17/A7/PW7 P16/A6/PW6 P15/A5/PW5 P14/A4/PW4 P13/A3/PW3 P12/A2/PW2 P11/A1/PW1 P10/A0/PW0 P37/D15/HDB7 P36/D14/HDB6 P35/D13/HDB5 P34/D12/HDB4 P33/D11/HDB3 P32/D10/HDB2 P31/D9/HDB1 P30/D8/HDB0 PB7/D7 PB6/D6 PB5/D5 PB4/D4
DTC
2
9
ROM WDT0 WDT1
RAM 3
1
6
16
FRT
8
PWM
14 8 4 (TMR0 TMR1 TMRX TMRY) 10
PWM
3
4
A/D
B
PB3/D3/CS4 PB2/D2/CS3 PB1/D1/HIRQ4 PB0/D0/HIRQ3
5
8
7
AVref AVCC AVSS
1.1 (a) H8S/2148
8
P86/IRQ5/SCK1/SCL1 P85/IRQ4/RxD1 P84/IRQ3/TxD1 P83 P82/HIFSD P81/CS2/GA20 P80/HA0
P77/AN7/DA1 P76/AN6/DA0 P75/AN5 P74/AN4 P73/AN3 P72/AN2 P71/AN1 P70/AN0
1.
VCC1 VCC2
VSS VSS VSS VSS VSS
RES XTAL EXTAL VCCB MD1 MD0 NMI STBY RESO P97/WAIT/SDA0 P96/ /EXCL P95/AS/IOS/CS1 P94/HWR/IOW P93/RD/IOR P92/IRQ0 P91/IRQ1 P90/LWR/IRQ2/ADTRG/ECS2 P67/CIN7/KIN7/IRQ7 P66/FTOB/CIN6/KIN6/IRQ6 P65/FTID/CIN5/KIN5 P64/FTIC/CIN4/KIN4 P63/FTIB/CIN3/KIN3 P62/FTIA/CIN2/KIN2/TMIY P61/FTOA/CIN1/KIN1 P60/FTCI/CIN0/KIN0 P47/PWX1 P46/PWX0 P45/TMRI1/HIRQ12 P44/TMO1/HIRQ1 P43/TMCI1/HIRQ11 P42/TMRI0/SCK2/SDA1 P41/TMO0/RxD2/IrRxD P40/TMCI0/TxD2/IrTxD
PA7/A23/KIN15/CIN15/PS2CD PA6/A22/KIN14/CIN14/PS2CC PA5/A21/KIN13/CIN13/PS2BD PA4/A20/KIN12/CIN12/PS2BC PA3/A19/KIN11/CIN11/PS2AD H8S/2000 CPU
A
PA2/A18/KIN10/CIN10/PS2AC PA1/A17/KIN9/CIN9 PA0/A16/KIN8/CIN8 P27/A15/PW15 P26/A14/PW14 P25/A13/PW13 P24/A12/PW12 P23/A11/PW11 P22/A10/PW10 P21/A9/PW9 P20/A8/PW8 P17/A7/PW7 P16/A6/PW6 P15/A5/PW5 P14/A4/PW4 P13/A3/PW3
2
9
ROM WDT0 WDT1
RAM 3
1
6
16
FRT
8
PWM
P12/A2/PW2 P11/A1/PW1 P10/A0/PW0 P37/D15/HDB7 P36/D14/HDB6 P35/D13/HDB5 P34/D12/HDB4 P33/D11/HDB3 P32/D10/HDB2 P31/D9/HDB1 P30/D8/HDB0 PB7/D7 PB6/D6 PB5/D5 PB4/D4 PB3/D3/CS4 PB2/D2/CS3 PB1/D1/HIRQ4 PB0/D0/HIRQ3
14
PWM
8 (TMR0 TMR1
3 TMRY) 10 A/D
3
4
SCI 3 (IrDA 1 P52/SCK0/SCL0 P51/RxD0 P50/TxD0
)
8
D/A
IIC 2
B
5
8
7
AVref AVCC AVSS
1.1 (b) H8S/2147N
P86/IRQ5/SCK1/SCL1 P85/IRQ4/RxD1 P84/IRQ3/TxD1 P83 P82/HIFSD P81/CS2/GA20 P80/HA0
P77/AN7/DA1 P76/AN6/DA0 P75/AN5 P74/AN4 P73/AN3 P72/AN2 P71/AN1 P70/AN0
9
1.
VCC1 VCC2 (VCL) VSS VSS VSS VSS VSS
PA7/A23/KIN15/CIN15 RES XTAL EXTAL MD1 MD0 NMI STBY RESO H8S/2000 CPU PA6/A22/KIN14/CIN14 PA5/A21/KIN13/CIN13 PA4/A20/KIN12/CIN12 PA3/A19/KIN11/CIN11 PA2/A18/KIN10/CIN10 PA1/A17/KIN9/CIN9 PA0/A16/KIN8/CIN8 P27/A15 P26/A14 P25/A13 P24/A12 P23/A11 P22/A10 P21/A9 P20/A8 ROM WDT0 WDT1 P17/A7 P16/A6 P15/A5 P14/A4 P13/A3 P12/A2 P11/A1 P10/A0 16 FRT P37/D15 P36/D14 14 PWM P35/D13 P34/D12 P33/D11 P32/D10 P31/D9 P30/D8 10 A/D PB7/D7 PB6/D6 PB5/D5 PB4/D4 PB3/D3 PB2/D2 PB1/D1 PB0/D0
A
P97/WAIT P96/ /EXCL P95/AS/IOS P94/HWR P93/RD P92/IRQ0 P91/IRQ1 P90/LWR/IRQ2/ADTRG P67/CIN7/KIN7/IRQ7 P66/FTOB/CIN6/KIN6/IRQ6 P65/FTID/CIN5/KIN5 P64/FTIC/CIN4/KIN4 P63/FTIB/CIN3/KIN3 P62/FTIA/CIN2/KIN2/TMIY P61/FTOA/CIN1/KIN1 P60/FTCI/CIN0/KIN0 P47/PWX1 P46/PWX0 P45/TMRI1 P44/TMO1 P43/TMCI1 P42/TMRI0/SCK2 P41/TMO0/RxD2/IrRxD P40/TMCI0/TxD2/IrTxD SCI (IrDA P52/SCK0 P51/RxD0 P50/TxD0 3 1 ) 8 D/A 8 (TMR0 TMR1 3 TMRY)
2 9
RAM
1 6
3
4
B 5
8
7
AVref AVCC
P86/IRQ5/SCK1 P85/IRQ4/RxD1 P84/IRQ3/TxD1 P83 P82 P81
AVSS
P77/AN7/DA1 P76/AN6/DA0
P75/AN5 P74/AN4 P73/AN3 P72/AN2
1.1 (c)
H8S/2144
10
P80
P71/AN1 P70/AN0
1.
1.3
1.3.1
H8S/2148 H8S/2144 1.2(a) 1.2(c) H8S/2147N 1.2(b)
P45/TMRI1/HIRQ12/CSYNCI
P43/TMCI1/HIRQ11/HSYNCI
P44/TMO1/HIRQ1/HSYNCO
P27/A15/PW15/CBLANK
PW3/A3/P13 PW2/A2/P12 PW1/A1/P11 PW0/A0/P10 CS4/D3/PB3 CS3/D2/PB2 HDB0/D8 /P30 HDB1/D9 /P31 HDB2/D10/P32 HDB3/D11/P33 HDB4/D12/P34 HDB5/D13/P35 HDB6/D14/P36 HDB7/D15/P37 HIRQ4/D1/PB1 HIRQ3/D0/PB0 VSS HA0/P80 GA20/CS2/P81 HIFSD/P82 P83 TxD1/IRQ3/P84 RxD1/IRQ4/P85 SCL1/SCK1/IRQ5/P86 RESO
75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 1 RES 2 XTAL 3 EXTAL 4 VCCB 5 MD 6 MD0 7 NMI FP-100B TFP-100B ( ) 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 PS2CD/KIN15/CIN15/A23/PA7 PS2CC/KIN14/CIN14/A22/PA6 PS2BD/KIN13/CIN13/A21/PA5 PS2BC/KIN12/CIN12/A20/PA4 ADTRG/IRQ2/ECS2/LWR/P90 CS1/ IOS/ AS/P95 SDA0/WAIT/P97 IOW/ HWR/P94 VCC2 (VCL) SCL0/SCK0/P52 EXCL/ /P96 IOR/ RD/P93 RxD0/P51 TxD0/P50 IRQ0/P92 IRQ1/P91 STBY VSS
P42/TMRI0/SCK2/SDA1
P22/A10/PW10
P23/A11/PW11
P24/A12/PW12
P25/A13/PW13
P26/A14/PW14
P14/A4/PW4
P15/A5/PW5
P16/A6/PW6
P17/A7/PW7
P20/A8/PW8
P21/A9/PW9
P47/PWX1
P46/PWX0
PB4/D4
PB5/D5
PB6/D6
PB7/D7
VCC1
VSS
VSS
P41/TMO0/RxD2/IrRxD P40/TMCI0/TxD2/IrTxD PA0/A16/CIN8/KIN8 PA1/A17/CIN9/KIN9 AVSS P77/AN7/DA1 P76/AN6/DA0 P75/AN5 P74/AN4 P73/AN3 P72/AN2 P71/AN1 P70/AN0 AVCC AVref P67/TMOX/CIN7/KIN7/IRQ7 P66/FTOB/CIN6/KIN6/IRQ6 P65/FTID/CIN5/KIN5 P64/FTIC/CIN4/KIN4/CLAMPO PA2/A18/CIN10/KIN10/PS2AC PA3/A19/CIN11/KIN11/PS2AD P63/FTIB/CIN3/KIN3/VFBACKI P62/FTIA/CIN2/KIN2/VSYNCI/TMIY P61/FTOA/CIN1/KIN1/VSYNCO P60/FTCI/CIN0/KIN0/HFBACKI/TMIX
1.2 (a) H8S/2148
FP-100B TFP-100B
11
1.
PW3/A3/P13 PW2/A2/P12 PW1/A1/P11 PW0/A0/P10 CS4/D3/PB3 CS3/D2/PB2 HDB0/D8 /P30 HDB1/D9 /P31 HDB2/D10/P32 HDB3/D11/P33 HDB4/D12/P34 HDB5/D13/P35 HDB6/D14/P36 HDB7/D15/P37 HIRQ4/D1/PB1 HIRQ3/D0/PB0 VSS HA0/P80 GA20/CS2/P81 HIFSD/P82 P83 TxD1/IRQ3/P84 RxD1/IRQ4/P85 SCL1/SCK1/IRQ5/P86 RESO
75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 1 RES 2 XTAL 3 EXTAL 4 VCCB 5 MD 6 MD0 7 NMI FP-100B TFP-100B ( ) 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 PS2CD/KIN15/CIN15/A23/PA7 PS2CC/KIN14/CIN14/A22/PA6 PS2BD/KIN13/CIN13/A21/PA5 PS2BC/KIN12/CIN12/A20/PA4 ADTRG/IRQ2/ECS2/LWR/P90 CS1/ IOS/ AS/P95 SDA0/WAIT/P97 IOW/ HWR/P94 SCL0/SCK0/P52 EXCL/ /P96 IOR/ RD/P93 RxD0/P51 TxD0/P50 IRQ0/P92 IRQ1/P91 VCC2 STBY VSS
P42/TMRI0/SCK2/SDA1
P45/TMRI1/HIRQ12
P43/TMCI1/HIRQ11
P44/TMO1/HIRQ1
P22/A10/PW10
P23/A11/PW11
P24/A12/PW12
P25/A13/PW13
P26/A14/PW14
P27/A15/PW15
P14/A4/PW4
P15/A5/PW5
P16/A6/PW6
P17/A7/PW7
P20/A8/PW8
P21/A9/PW9
P47/PWX1
P46/PWX0
PB4/D4
PB5/D5
PB6/D6
PB7/D7
VCC1
VSS
VSS
P41/TMO0/RxD2/IrRxD P40/TMCI0/TxD2/IrTxD PA0/A16/CIN8/KIN8 PA1/A17/CIN9/KIN9 AVSS P77/AN7/DA1 P76/AN6/DA0 P75/AN5 P74/AN4 P73/AN3 P72/AN2 P71/AN1 P70/AN0 AVCC AVref P67/CIN7/KIN7/IRQ7 P66/FTOB/CIN6/KIN6/IRQ6 P65/FTID/CIN5/KIN5 P64/FTIC/CIN4/KIN4 PA2/A18/CIN10/KIN10/PS2AC PA3/A19/CIN11/KIN11/PS2AD P63/FTIB/CIN3/KIN3 P62/FTIA/CIN2/KIN2/TMIY P61/FTOA/CIN1/KIN1 P60/FTCI/CIN0/KIN0
1.2 (b) H8S/2147N
FP-100B TFP-100B
12
1.
A3/P13 A2/P12 A1/P11 A0/P10 D3/PB3 D2/PB2 D8/P30 D9/P31 D10/P32 D11/P33 D12/P34 D13/P35 D14/P36 D15/P37 D1/PB1 D0/PB0 VSS P80 P81 P82 P83 TxD1/IRQ3/P84 RxD1/IRQ4/P85 SCK1/IRQ5/P86 RESO
75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 76 50 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 1 RES 2 XTAL 3 EXTAL 4 VCC1 5 6 MD0 7 NMI 8 STBY FP-100B TFP-100B ( ) 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 ADTRG/IRQ2/LWR/P90 KIN15/CIN15/A23/PA7 KIN14/CIN14/A22/PA6 KIN13/CIN13/A21/PA5 KIN12/CIN12/A20/PA4 VCC2 (VCL) IOS/ AS/P95 IRQ0/P92 RxD0/P51 TxD0/P50 SCK0/P52 WAIT/P97 EXCL/ /P96 HWR/P94 IRQ1/P91 RD/P93 VSS
P42/TMRI0/SCK2
P45/TMRI1
P43/TMCI1
P47/PWX1
P46/PWX0
P44/TMO1
P22/A10
P23/A11
P24/A12
P25/A13
P26/A14
P27/A15
PB4/D4
PB5/D5
PB6/D6
PB7/D7
P14/A4
P15/A5
P16/A6
P17/A7
P20/A8
P21/A9
VCC1
VSS
VSS
P41/TMO0/RxD2/IrRxD P40/TMCI0/TxD2/IrTxD PA0/A16/CIN8/KIN8 PA1/A17/CIN9/KIN9 AVSS P77/AN7/DA1 P76/AN6/DA0 P75/AN5 P74/AN4 P73/AN3 P72/AN2 P71/AN1 P70/AN0 AVCC AVref P67/CIN7/KIN7/IRQ7 P66/FTOB/CIN6/KIN6/IRQ6 P65/FTID/CIN5/KIN5 P64/FTIC/CIN4/KIN4 PA2/A18/CIN10/KIN10 PA3/A19/CIN11/KIN11 P63/FTIB/CIN3/KIN3 P62/FTIA/CIN2/KIN2/TMIY P61/FTOA/CIN1/KIN1 P60/FTCI/CIN0/KIN0
1.2 (c)
MD
H8S/2144
FP-100B TFP-100B
13
1.
1.3.2
1.2 1.3 1.4
1.2
H8S/2148
FP-100B TFP-100B 1 2 3 4 5 6 7 8 9 10 RES XTAL EXTAL VCCB MD1 MD0 NMI STBY VCC2 (VCL)
1
2(EXPE=1) 3(EXPE=1) RES XTAL EXTAL VCCB MD1 MD0 NMI STBY VCC2 (VCL) RES XTAL EXTAL VCCB MD1 MD0 NMI STBY
2(EXPE=0) 3(EXPE=0) RES XTAL EXTAL VCC VSS VSS FA9 VCC VCC NC
VCC2 (VCL)
PA7/ CIN15/ KIN15/ PS2CD
A23/PA7/ CIN15/ KIN15/ PA7/ CIN15/ KIN15/ PS2CD PS2CD
11
PA6/ CIN14/ KIN14/ PS2CC
A22/ PA6/ CIN14/ KIN14/ PA6/ CIN14/ KIN14/ PS2CC P52/ SCK0/ SCL0 P51/ RxD0 P50/ TxD0 VSS P97/ WAIT/ SDA0 / P96/ EXCL AS/ IOS HWR PS2CC P52/ SCK0/ SCL0 P51/ RxD0 P50/ TxD0 VSS P97/ SDA0 P96/ / EXCL P95/ CS1 P94/ IOW
NC
12 13 14 15 16 17 18 19 20
P52/ SCK0/ SCL0 P51/ RxD0 P50/ TxD0 VSS P97/ WAIT/ SDA0 / P96/ EXCL AS/ IOS HWR PA5/ CIN13/ KIN13/ PS2BD
NC FA17 NC VSS VCC NC FA16 FA15 NC
A21/ PA5/ CIN13/ KIN13/ PA5/ CIN13/ KIN13/ PS2BD PS2BD
21
PA4/ CIN12/ KIN12/ PS2BC
A20/ PA4/ CIN12/ KIN12/ PA4/ CIN12/ KIN12/ PS2BC RD P92/ IRQ0 P91/ IRQ1 PS2BC P93/ IOR P92/ IRQ0 P91/ IRQ1
NC
22 23 24
RD P92/ IRQ0 P91/ IRQ1
WE VSS VCC
14
1.
FP-100B TFP-100B 25 26
1
2(EXPE=1) 3(EXPE=1)
2(EXPE=0) 3(EXPE=0) VCC NC
LWR/P90/IRQ2/ADTRG LWR/P90/IRQ2/ADTRG P90/IRQ2/ADTRG/ECS2 P60/ FTCI/ CIN0/ KIN0/ P60/ FTCI/ CIN0/ KIN0/ P60/ FTCI/ CIN0/ KIN0/ TMIX/ HFBACKI TMIX/ HFBACKI TMIX/ HFBACKI
27
P61/ FTOA/ CIN1/ KIN1/ P61/ FTOA/ CIN1/ KIN1/ P61/ FTOA/ CIN1/ KIN1/ VSYNCO VSYNCO VSYNCO
NC
28
P62/ FTIA/ CIN2/ KIN2/ P62/ FTIA/ CIN2/ KIN2/ P62/ FTIA/ CIN2/ KIN2/ TMIY / VSYNCI TMIY / VSYNCI TMIY / VSYNCI
NC
29
P63/ FTIB/ CIN3/ KIN3/ P63/ FTIB/ CIN3/ KIN3/ P63/ FTIB/ CIN3/ KIN3/ VFBACKI VFBACKI VFBACKI
NC
30
PA3/ CIN11/ KIN11/ PS2AD
A19/PA3/ CIN11/ KIN11/ PA3/ CIN11/ KIN11/ PS2AD PS2AD
NC
31
PA2/ CIN10/ KIN10/ PS2AC
A18/PA2/ CIN10/ KIN10/ PA2/ CIN10/ KIN10/ PS2AC PS2AC P64 /FTIC/ CIN4/ KIN4/ CLAMPO P65/ FTID/ CIN5/ KIN5
NC
32
P64 / FTIC/ CIN4/ KIN4/ P64 /FTIC/ CIN4/ KIN4/ CLAMPO CLAMPO P65/ FTID/ CIN5/ KIN5
NC
33 34
P65/ FTID/ CIN5/ KIN5
NC NC
P66/ FTOB/ CIN6/ KIN6/ P66/ FTOB/ CIN6/ KIN6/ P66/ FTOB/ CIN6/ KIN6/ IRQ6 IRQ6 IRQ6
35
P67/ TMOX/ CIN7/ KIN7/ P67/ TMOX/ CIN7/ KIN7/ P67/ TMOX/ CIN7/ KIN7/ IRQ7 IRQ7 AVref AVCC P70/ AN0 P71/ AN1 P72/ AN2 P73/ AN3 P74/ AN4 P75/ AN5 P76/ AN6/ DA0 P77/ AN7/ DA1 IRQ7 AVref AVCC P70/ AN0 P71/ AN1 P72/ AN2 P73/ AN3 P74/ AN4 P75/ AN5 P76/ AN6/ DA0 P77/ AN7/ DA1
VSS
36 37 38 39 40 41 42 43 44 45
AVref AVCC P70/ AN0 P71/ AN1 P72/ AN2 P73/ AN3 P74/ AN4 P75/ AN5 P76/ AN6/ DA0 P77/ AN7/ DA1
VCC VCC NC NC NC NC NC NC NC NC
15
1.
FP-100B TFP-100B 46 47 48 49 50 51 52 AVSS
1
2(EXPE=1) 3(EXPE=1) AVSS A17/PA1/ CIN9/ KIN9 A16/PA0/ CIN8/ KIN8 AVSS
2(EXPE=0) 3(EXPE=0) VSS NC NC NC NC NC NC
PA1/ CIN9/ KIN9 PA0/ CIN8/ KIN8
PA1/ CIN9/ KIN9 PA0/ CIN8/ KIN8 P40/TMCI0/TxD2/IrTxD P41/TMO0/RxD2/IrRxD
P40/TMCI0/TxD2/IrTxD P40/TMCI0/TxD2/IrTxD P41/TMO0/RxD2/IrRxD P41/TMO0/RxD2/IrRxD
P42/TMRI0/SCK2/SDA1 P42/TMRI0/SCK2/SDA1 P42/TMRI0/SCK2/SDA1 P43/TMCI1/HSYNCI P43/TMCI1/HSYNCI P43/TMCI1/HIRQ11/ HSYNCI
53
P44/TMO1/HSYNCO
P44/TMO1/HSYNCO
P44/TMO1/HIRQ1/ HSYNCO
NC
54
P45/TMRI1/CSYNCI
P45/TMRI1/CSYNCI
P45/TMRI1/HIRQ12/ CSYNCI
NC
55 56 57 58 59 60
P46/PWX0 P47/PWX1 PB7/D7 PB6/D6 VCC1 A15
P46/PWX0 P47/PWX1 PB7/D7 PB6/D6 VCC1 A15/P27/PW15/ CBLANK
P46/PWX0 P47/PWX1 PB7 PB6 VCC1 P27/PW15/CBLANK
NC NC NC NC VCC CE
61 62 63 64 65 66 67 68 69 70 71 72 73
A14 A13 A12 A11 A10 A9 A8 PB5/D5 PB4/D4 VSS VSS A7 A6
A14/P26/PW14 A13/P25/PW13 A12/P24/PW12 A11/P23/PW11 A10/P22/PW10 A9/P21/PW9 A8/P20/PW8 PB5/D5 PB4/D4 VSS VSS A7/P17/PW7 A6/P16/PW6
P26/PW14 P25/PW13 P24/PW12 P23/PW11 P22/PW10 P21/PW9 P20/PW8 PB5 PB4 VSS VSS P17/PW7 P16/PW6
FA14 FA13 FA12 FA11 FA10 OE FA8 NC NC VSS VSS FA7 FA6
16
1.
FP-100B TFP-100B 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 A5 A4 A3 A2 A1 A0 PB3/D3 PB2/D2 D8 D9 D10 D11 D12 D13 D14 D15 PB1/D1 PB0/D0 VSS P80 P81 P82 P83
1
2(EXPE=1) 3(EXPE=1) A5/P15/PW5 A4/P14/PW4 A3/P13/PW3 A2/P12/PW2 A1/P11/PW1 A0/P10/PW0 PB3/D3 PB2/D2 D8 D9 D10 D11 D12 D13 D14 D15 PB1/D1 PB0/D0 VSS P80 P81 P82 P83 P84/IRQ3/TxD1 P85/IRQ4/RxD1 P86/IRQ5/SCK1/SCL1 RESO P15/PW5 P14/PW4 P13/PW3 P12/PW2 P11/PW1 P10/PW0 PB3/CS4 PB2/CS3 P30/HDB0 P31/HDB1 P32/HDB2 P33/HDB3 P34/HDB4 P35/HDB5 P36/HDB6 P37/HDB7
2(EXPE=0) 3(EXPE=0) FA5 FA4 FA3 FA2 FA1 FA0 NC NC FO0 FO1 FO2 FO3 FO4 FO5 FO6 FO7 NC NC VSS NC NC NC NC NC NC NC NC
PB1/HIRQ4 PB0/HIRQ3 VSS P80/HA0 P81/CS2/GA20 P82/HIFSD P83 P84/IRQ3/TxD1 P85/IRQ4/RxD1 P86/IRQ5/SCK1/SCL1 RESO
P84/IRQ3/TxD1 P85/IRQ4/RxD1 P86/IRQ5/SCK1/SCL1 RESO
17
1.
1.3
H8S/2147N
FP-100B TFP-100B 1 2 3 4 5 6 7 8 9 10 RES XTAL EXTAL VCCB MD1 MD0 NMI STBY VCC2
1
2(EXPE=1) 3(EXPE=1) RES XTAL EXTAL VCCB MD1 MD0 NMI STBY VCC2 RES XTAL EXTAL VCCB MD1 MD0 NMI STBY VCC2
2(EXPE=0) 3(EXPE=0) RES XTAL EXTAL VCC VSS VSS FA9 VCC VCC NC
PA7/ CIN15/ KIN15/ PS2CD
A23/PA7/ CIN15/ KIN15/ PA7/ CIN15/ KIN15/ PS2CD PS2CD
11
PA6/ CIN14/ KIN14/ PS2CC
A22/ PA6/ CIN14/ KIN14/ PA6/ CIN14/ KIN14/ PS2CC P52/ SCK0/ SCL0 P51/ RxD0 P50/ TxD0 VSS P97/ WAIT/ SDA0 / P96/ EXCL AS/ IOS HWR PS2CC P52/ SCK0/ SCL0 P51/ RxD0 P50/ TxD0 VSS P97/ SDA0 P96/ / EXCL P95/ CS1 P94/ IOW
NC
12 13 14 15 16 17 18 19 20
P52/ SCK0/ SCL0 P51/ RxD0 P50/ TxD0 VSS P97/ WAIT/ SDA0 / P96/ EXCL AS/ IOS HWR PA5/ CIN13/ KIN13/ PS2BD
NC FA17 NC VSS VCC NC FA16 FA15 NC
A21/ PA5/ CIN13/ KIN13/ PA5/ CIN13/ KIN13/ PS2BD PS2BD
21
PA4/ CIN12/ KIN12/ PS2BC
A20/ PA4/ CIN12/ KIN12/ PA4/ CIN12/ KIN12/ PS2BC RD P92/ IRQ0 P91/ IRQ1 PS2BC P93/ IOR P92/ IRQ0 P91/ IRQ1
NC
22 23 24
RD P92/ IRQ0 P91/ IRQ1
WE VSS VCC
18
1.
FP-100B TFP-100B 25 26 27 28
1
2(EXPE=1) 3(EXPE=1)
2(EXPE=0) 3(EXPE=0) VCC NC NC NC
LWR/P90/IRQ2/ADTRG LWR/P90/IRQ2/ADTRG P90/IRQ2/ADTRG/ECS2 P60/ FTCI/ CIN0/ KIN0 P60/ FTCI/ CIN0/ KIN0 P60/ FTCI/ CIN0/ KIN0
P61/ FTOA/ CIN1/ KIN1 P61/ FTOA/ CIN1/ KIN1 P61/ FTOA/ CIN1/ KIN1 P62/ FTIA/ CIN2/ KIN2/ P62/ FTIA/ CIN2/ KIN2/ P62/ FTIA/ CIN2/ KIN2/ TMIY TMIY P63/ FTIB/ CIN3/ KIN3 TMIY P63/ FTIB/ CIN3/ KIN3
29 30
P63/ FTIB/ CIN3/ KIN3 PA3/ CIN11/ KIN11/ PS2AD
NC NC
A19/PA3/ CIN11/ KIN11/ PA3/ CIN11/ KIN11/ PS2AD PS2AD
31
PA2/ CIN10/ KIN10/ PS2AC
A18/PA2/ CIN10/ KIN10/ PA2/ CIN10/ KIN10/ PS2AC PS2AC P64 /FTIC/ CIN4/ KIN4 P65/ FTID/ CIN5/ KIN5
NC
32 33 34
P64 / FTIC/ CIN4/ KIN4 P64 /FTIC/ CIN4/ KIN4 P65/ FTID/ CIN5/ KIN5 P65/ FTID/ CIN5/ KIN5
NC NC NC
P66/ FTOB/ CIN6/ KIN6/ P66/ FTOB/ CIN6/ KIN6/ P66/ FTOB/ CIN6/ KIN6/ IRQ6 IRQ6 IRQ6 P67/ CIN7/ KIN7/ IRQ7 AVref AVCC P70/ AN0 P71/ AN1 P72/ AN2 P73/ AN3 P74/ AN4 P75/ AN5 P76/ AN6/ DA0 P77/ AN7/ DA1 AVSS PA1/ CIN9/ KIN9 PA0/ CIN8/ KIN8 P40/TMCI0/TxD2/IrTxD P41/TMO0/RxD2/IrRxD
35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51
P67/ CIN7/ KIN7/ IRQ7 P67/ CIN7/ KIN7/ IRQ7 AVref AVCC P70/ AN0 P71/ AN1 P72/ AN2 P73/ AN3 P74/ AN4 P75/ AN5 P76/ AN6/ DA0 P77/ AN7/ DA1 AVSS PA1/ CIN9/ KIN9 PA0/ CIN8/ KIN8 AVref AVCC P70/ AN0 P71/ AN1 P72/ AN2 P73/ AN3 P74/ AN4 P75/ AN5 P76/ AN6/ DA0 P77/ AN7/ DA1 AVSS A17/PA1/ CIN9/ KIN9 A16/PA0/ CIN8/ KIN8
VSS VCC VCC NC NC NC NC NC NC NC NC VSS NC NC NC NC NC
P40/TMCI0/TxD2/IrTxD P40/TMCI0/TxD2/IrTxD P41/TMO0/RxD2/IrRxD P41/TMO0/RxD2/IrRxD
P42/TMRI0/SCK2/SDA1 P42/TMRI0/SCK2/SDA1 P42/TMRI0/SCK2/SDA1
19
1.
FP-100B TFP-100B 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 P43/TMCI1 P44/TMO1 P45/TMRI1 P46/PWX0 P47/PWX1 PB7/D7 PB6/D6 VCC1 A15 A14 A13 A12 A11 A10 A9 A8 PB5/D5 PB4/D4 VSS VSS A7 A6 A5 A4 A3 A2 A1 A0 PB3/D3
1
2(EXPE=1) 3(EXPE=1) P43/TMCI1 P44/TMO1 P45/TMRI1 P46/PWX0 P47/PWX1 PB7/D7 PB6/D6 VCC1 A15/P27/PW15 A14/P26/PW14 A13/P25/PW13 A12/P24/PW12 A11/P23/PW11 A10/P22/PW10 A9/P21/PW9 A8/P20/PW8 PB5/D5 PB4/D4 VSS VSS A7/P17/PW7 A6/P16/PW6 A5/P15/PW5 A4/P14/PW4 A3/P13/PW3 A2/P12/PW2 A1/P11/PW1 A0/P10/PW0 PB3/D3
2(EXPE=0) 3(EXPE=0) P43/TMCI1/HIRQ11 P44/TMO1/HIRQ1 P45/TMRI1/HIRQ12 P46/PWX0 P47/PWX1 PB7 PB6 VCC1 P27/PW15 P26/PW14 P25/PW13 P24/PW12 P23/PW11 P22/PW10 P21/PW9 P20/PW8 PB5 PB4 VSS VSS P17/PW7 P16/PW6 P15/PW5 P14/PW4 P13/PW3 P12/PW2 P11/PW1 P10/PW0 PB3/CS4 NC NC NC NC NC NC NC VCC CE FA14 FA13 FA12 FA11 FA10 OE FA8 NC NC VSS VSS FA7 FA6 FA5 FA4 FA3 FA2 FA1 FA0 NC
20
1.
FP-100B TFP-100B 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 PB2/D2 D8 D9 D10 D11 D12 D13 D14 D15 PB1/D1 PB0/D0 VSS P80 P81 P82 P83
1
2(EXPE=1) 3(EXPE=1) PB2/D2 D8 D9 D10 D11 D12 D13 D14 D15 PB1/D1 PB0/D0 VSS P80 P81 P82 P83 P84/IRQ3/TxD1 P85/IRQ4/RxD1 P86/IRQ5/SCK1/SCL1 RESO PB2/CS3 P30/HDB0 P31/HDB1 P32/HDB2 P33/HDB3 P34/HDB4 P35/HDB5 P36/HDB6 P37/HDB7
2(EXPE=0) 3(EXPE=0) NC FO0 FO1 FO2 FO3 FO4 FO5 FO6 FO7 NC NC VSS NC NC NC NC NC NC NC NC
PB1/HIRQ4 PB0/HIRQ3 VSS P80/HA0 P81/CS2/GA20 P82/HIFSD P83 P84/IRQ3/TxD1 P85/IRQ4/RxD1 P86/IRQ5/SCK1/SCL1 RESO
P84/IRQ3/TxD1 P85/IRQ4/RxD1 P86/IRQ5/SCK1/SCL1 RESO
21
1.
1.4
H8S/2144
FP-100B TFP-100B 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 RES XTAL EXTAL VCC1 MD1 MD0 NMI STBY VCC2 (VCL)
1
2(EXPE=1) 3(EXPE=1) RES XTAL EXTAL VCC1 MD1 MD0 NMI STBY VCC2 (VCL) RES XTAL EXTAL VCC1 MD1 MD0 NMI STBY
2(EXPE=0) 3(EXPE=0) RES XTAL EXTAL VCC VSS VSS FA9 VCC VCC NC NC NC FA17 NC VSS VCC NC FA16 FA15 NC NC WE VSS VCC VCC NC NC NC
VCC2 (VCL)
PA7/ CIN15/ KIN15 PA6/ CIN14/ KIN14 P52/SCK0 P51/RxD0 P50/TxD0 VSS P97/WAIT /P96/EXCL AS/IOS HWR PA5/ CIN13/ KIN13 PA4/ CIN12/ KIN12 RD P92/ IRQ0 P91/ IRQ1
A23/PA7/ CIN15/ KIN15 PA7/ CIN15/ KIN15 A22/PA6/ CIN14/ KIN14 PA6/ CIN14/ KIN14 P52/SCK0 P51/RxD0 P50/TxD0 VSS P97/WAIT /P96/EXCL AS/IOS HWR P52/SCK0 P51/RxD0 P50/TxD0 VSS P97 P96/ /EXCL P95 P94
A21/PA5/ CIN13/ KIN13 PA5/ CIN13/ KIN13 A20/PA4/ CIN12/ KIN12 PA4/ CIN12/ KIN12 RD P92/ IRQ0 P91/ IRQ1 P93 P92/ IRQ0 P91/ IRQ1
LWR/P90/IRQ2/ADTRG LWR/P90/IRQ2/ADTRG P90/ IRQ2/ ADTRG P60/ FTCI/ CIN0/ KIN0 P60/ FTCI/ CIN0/ KIN0 P60/ FTCI/CIN0/ KIN0
P61/ FTOA/ CIN1/ KIN1 P61/ FTOA/ CIN1/ KIN1 P61/ FTOA/CIN1/ KIN1 P62/ FTIA/ CIN2/ KIN2/ P62/ FTIA/ CIN2/ KIN2/ P62/ FTIA/CIN2/ KIN2/ TMIY TMIY P63/ FTIB/ CIN3/ KIN3 TMIY P63/ FTIB/ CIN3/ KIN3
29
P63/ FTIB/ CIN3/ KIN3
NC
22
1.
FP-100B TFP-100B 30 31 32 33 34
1
2(EXPE=1) 3(EXPE=1)
2(EXPE=0) 3(EXPE=0) NC NC NC NC NC
PA3/ CIN11/ KIN11 PA2/ CIN10/ KIN10 P64 /FTIC/ CIN4/ KIN4 P65/FTID/ CIN5/ KIN5
A19/PA3/ CIN11/ KIN11 PA3/ CIN11/ KIN11 A18/PA2/ CIN10/ KIN10 PA2/ CIN10/ KIN10 P64 /FTIC/ CIN4/ KIN4 P65/FTID/ CIN5/ KIN5 P64 /FTIC/ CIN4/ KIN4 P65/FTID/ CIN5/ KIN5
P66/ FTOB/ CIN6/ KIN6/ P66/ FTOB/ CIN6/ KIN6/ P66/ FTOB/ CIN6/ KIN6/ IRQ6 IRQ6 IRQ6 P67 / CIN7/ KIN7/ IRQ7 AVref AVCC P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS PA1/CIN9/KIN9 PA0/CIN8/KIN8 P40/TMCI0/TxD2/IrTxD P41/TMO0/RxD2/IrRxD P42/TMRI0/SCK2 P43/TMCI1 P44/TMO1 P45/TMRI1 P46/PWX0 P47/PWX1 PB7 PB6 VCC1 P27
35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60
P67/ CIN7/ KIN7/ IRQ7 P67 / CIN7/ KIN7/ IRQ7 AVref AVCC P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS PA1/CIN9/KIN9 PA0/CIN8/KIN8 AVref AVCC P70/AN0 P71/AN1 P72/AN2 P73/AN3 P74/AN4 P75/AN5 P76/AN6/DA0 P77/AN7/DA1 AVSS A17/PA1/CIN9/ KIN9 A16/PA0/CIN8/ KIN8
VSS VCC VCC NC NC NC NC NC NC NC NC VSS NC NC NC NC NC NC NC NC NC NC NC NC VCC CE
P40/TMCI0/TxD2/IrTxD P40/TMCI0/TxD2/IrTxD P41/TMO0/RxD2/IrRxD P41/TMO0/RxD2/IrRxD P42/TMRI0/SCK2 P43/TMCI1 P44/TMO1 P45/TMRI1 P46/PWX0 P47/PWX1 PB7/D7 PB6/D6 VCC1 A15 P42/TMRI0/SCK2 P43/TMCI1 P44/TMO1 P45/TMRI1 P46/PWX0 P47/PWX1 PB7/D7 PB6/D6 VCC1 A15/P27
23
1.
FP-100B TFP-100B 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 A14 A13 A12 A11 A10 A9 A8 PB5/D5 PB4/D4 VSS VSS A7 A6 A5 A4 A3 A2 A1 A0 PB3/D3 PB2/D2 D8 D9 D10 D11 D12 D13 D14 D15 PB1/D1 PB0/D0 VSS
1
2(EXPE=1) 3(EXPE=1) A14/P26 A13/P25 A12/P24 A11/P23 A10/P22 A9/P21 A8/P20 PB5/D5 PB4/D4 VSS VSS A7/P17 A6/P16 A5/P15 A4/P14 A3/P13 A2/P12 A1/P11 A0/P10 PB3/D3 PB2/D2 D8 D9 D10 D11 D12 D13 D14 D15 PB1/D1 PB0/D0 VSS P26 P25 P24 P23 P22 P21 P20 PB5 PB4 VSS VSS P17 P16 P15 P14 P13 P12 P11 P10 PB3 PB2 P30 P31 P32 P33 P34 P35 P36 P37 PB1 PB0 VSS
2(EXPE=0) 3(EXPE=0) FA14 FA13 FA12 FA11 FA10 OE FA8 NC NC VSS VSS FA7 FA6 FA5 FA4 FA3 FA2 FA1 FA0 NC NC FO0 FO1 FO2 FO3 FO4 FO5 FO6 FO7 NC NC VSS
24
1.
FP-100B TFP-100B 93 94 95 96 97 98 99 100 P80 P81 P82 P83
1
2(EXPE=1) 3(EXPE=1) P80 P81 P82 P83 P84/IRQ3/TxD1 P85/IRQ4/RxD1 P86/IRQ5/SCK1 RESO P80 P81 P82 P83
2(EXPE=0) 3(EXPE=0) NC NC NC NC NC NC NC NC
P84/IRQ3/TxD1 P85/IRQ4/RxD1 P86/IRQ5/SCK1 RESO
P84/IRQ3/TxD1 P85/IRQ4/RxD1 P86/IRQ5/SCK1 RESO
25
1.
1.3.3
1.5
1.5
FP-100B TFP-100B VCC1 4[H8S/2144 ] 59 VCC2 VCL 9*1 9*1 5V VSS Vcc VCC1 26 VCCB 4[H8S/2148 A H8S/2147N VSS 15 70 71 ] 92 0V 0V XTAL 2 24 EXTAL 3 EXTAL VSS 3V 4V VCC1 VCC2*1
24 17 EXCL MD1 MD0 17 32.768kHz 5 6 MD1 MD0
MD1 MD0 0 1 1 ROM ROM
1
0
2
1
1
3
ROM
26
1.
FP-100B TFP-100B RES 1 Low RESO STBY 100 8 Low A23 A16 10 30 A15 A0 60 D15 D8 11 20 21 31 67 89 47 72 82 8 D7 D0 57 80 WAIT 58 68 69 81 90 16 3 RD 22 Low HWR 19 Low 91 16 16 48 79 16M
LWR
25 Low
AS /IOS NMI IRQ0 IRQ7 23 99
18 Low 7 25 34 97 35 07
27
1.
FP-100B TFP-100B 16 FTCI 26 FRT FRC FRT FTOA FTOB FTIA FTIB FTIC FTID 8 TMR0 TMRX TMR1 TMRY TMO0 TMO1 TMOX TMCI0 TMCI1 TMRI0 TMRI1 TMIX TMIY PWM PWM 14 PWM PWMX TxD0 TxD1 TxD2 SCI0 SCI2 SCI1 RxD0 RxD1 RxD2 SCK0 SCK1 SCK2 14 97 49 13 98 50 12 99 51 SCK0 NMOS CMOS IrDA SCI2 SCI IrTxD IrRxD 49 50 IrDA IrDA H8S/2148 H8S/2144 H8S/2147N PW15 PW0 PWX0 PWX1 60 72 55 56 27 34 28 29 32 33 50 53 35 49 52 51 54 26 28 67 79 TMRX PWM PWM PWMX PWM D/A TMRY TMR0 TMR1 TMR0 TMR1 TMR0 TMR1 TMRX FRT A FRT B FRT A FRT B FRT C FRT D D C B A B A
28
1.
FP-100B TFP-100B PS2AC PS2BC PS2 PS2CC PS2AD PS2BD PS2CD HDB7 HDB0 HIF CS1 CS2 ECS2 CS3 CS4 IOR 22 I/O 18 94 25 81 80 8 1234 89 31 21 11 30 20 10 82 PS2 PS2
IOW
19
I/O
HA0
93
GA20 HIRQ11 HIRQ1 HIRQ12 HIRQ3 HIRQ4 HIFSD
94 52 53 54 91 90 95
GATE A20 GATE A20 11 1 12 34
KIN0 KIN15
26 32 48 31 21 11
29 35 47 30 20 10 P10 P17 P20 P27 16 16 256
29
1.
FP-100B TFP-100B A/D ADC AN7 AN0 CIN0 CIN15 26 32 48 31 21 11 ADTRG D/A DAC A/D D/A DA0 DA1 AVCC 29 35 47 30 20 10 25 44 45 37 A/D A/D +5V AVref 36 A/D A/D +5V AVSS 46 A/D VSYNCI HSYNCI CSYNCI VFBACKI HFBACKI VSYNCO HSYNCO CLAMPO CBLANK I2C IIC SCL0 SDA0 SDA1 16 51 IC I2C SDA0 NMOS
2
45
38 A/D A/D A/D A/D
A/D A/D D/A D/A D/A +3V D/A D/A +3V D/A 0V
28 52 54 29 26 27 53 32 60 12 99 I2C IC NMOS 01
2
SCL0 SCL1
01
30
1.
FP-100B TFP-100B I/O P17 P10 72 79 8 P1DDR LED P27 P20 60 67 8 P2DDR LED P37 P30 89 82 8 P3DDR LED P47 P40 56 49 8 P52 P50 12 14 3 H8S/2147N CMOS P67 P60 35 29 32 26 8 P6DDR 1 MOS P77 P70 P86 P80 45 99 38 8 93 7 P97 P90 16 22 19 25 8 H8S/2148 H8S/2144 PA7 PA0 10 20 30 47 11 21 31 48 VCCB PB7 PB0 57 68 80 90 58 69 81 91 8 PBDDR 1 MOS H8S/2148 H8S/2147N 8 PADDR 1 MOS P9DDR P96 H8S/2147N CMOS NMOS 1 P97 P8DDR 1 P5DDR P52 NMOS 1 H8S/2148 H8S/2144 P4DDR 1 1 MOS 1 MOS 1 MOS
31
1.
* 1 HD 64F2 148A
HD 64F2 147A
HD 64F2 144A
HD 6432148S
HD 6432148SW F-ZTAT
HD6432147S HD6432147SW HD6432144S HD6432143S ROM VCC2 9 VCL
32
2. CPU
2
2.1 ......................................................................................................................................... 35 2.1.1 2.1.2 2.1.3 2.1.4 2.2 2.3 2.4 CPU ........................................................................................................ 35 H8S/2600 CPU H8/300 CPU H8/300H CPU H8S/2000 CPU ................................................ 36
............................................................................. 37 .......................................................................... 37
........................................................................................................................ 38 ............................................................................................................................ 43 ............................................................................................................................ 44 2.4.1 2.4.2 2.4.3 2.4.4 CPU ........................................................................................................ 44 ........................................................................................... 45 .............................................................................. 46 ....................................................................... 48
2.5
............................................................................................................................... 49 2.5.1 2.5.2 ....................................................................... 49 .......................................................................... 51
2.6
............................................................................................................................... 52 2.6.1 2.6.2 2.6.3 2.6.4 2.6.5 ........................................................................................................ 52 ................................................ 54 .................................................................................... 55 .......................................................................... 64 .................................................................... 65 ....................................................................... 66 2.7.1 2.7.2 .............................................................................. 66 .......................................................................... 70
2.7
2.8
................................................................................................................................... 74 2.8.1 2.8.2 ........................................................................................................ 74 ........................................................................................... 75
2.
CPU
2.8.3 2.8.4 2.8.5 2.8.6 2.9 2.9.1 2.9.2 2.9.3 2.9.4 2.10
........................................................................................... 76 ................................................................................. 77 ........................................................................................ 78 ........................................................................................ 78 .................................................................................................................. 79 ........................................................................................................ 79 ROM RAM ..................................................................... 79 ................................................... 80 ...................................................... 81
............................................................................................................................ 82 2.10.1 2.10.2 TAS ................................................................................................. 82 ........................................................................................ 82
STM/LDM
34
2.
CPU
2.1
H8S/2000 CPU 32 CPU 4G 16 H8/300 CPU CPU 16 16M H8/300H CPU
2.1.1
CPU
H8/300 CPU H8/300
H8/300H CPU H8/300H CPU
16 65 8 16 32
16
8
16
32
8
8 Rn @ERn @(d:16,ERn) @(d:32,ERn) @ERn+ @aa:8 #xx:8 @aa:16 #xx:16 @aa:24 #xx:32 @aa:32 @-ERn
@(d:8,PC) @(d:16,PC) @@aa:8 16M 16M 16M 4G
35
2.
CPU
1
2 20MHz
8 8 16 16 32 2
16 8 8 16 16
32
50ns 600ns 600ns 1000ns 1000ns
CPU
SLEEP CPU
2.1.2 H8S/2600 CPU
H8S/2600 CPU
H8S/2000 CPU
H8S/2000 CPU
MAC
H8S/2600 CPU
MAC
C LRMA C LDMAC
S TMAC
4
H8S /2600 C PU
MULXU
MULXS
H8S/2600 MULXU MULXU.B Rs, Rd MULXU.W Rs, ERd MULXS MULXS.B Rs, Rd MULXS.W Rs, ERd 3 4 4 5
H8S/2000 12 20 13 21
EXR
36
2.
CPU
2.1.3 H8/300 CPU
CPU H8/300 CPU
16
8
8
1
H8/300 C PU
64k
16M
16M
2
2
2.1.4 H8/300H CPU
CPU H8/300H CPU
8
1
2
2
37
2.
CPU
2.2 CPU
C PU 2 C PU 64k 16M 4G LSI 4G 16M
2.1
CPU
1
H8/300 CPU
a 64k
b
En E0 E7 16 En 16 @-Rn Rn En @Rn+ Rn 16 32
38
2.
CPU
c EA 16
d H'0000 16 2.2 4
2.2
@@a a:8 8
JMP
JSR
16
16 H'0000 H'00FF
e PC 2.3 EXR PC 4 C CR
39
2.
CPU
*1
*1
2.3
2
a 16M 4G 4G 16M
b
En E0 E7 16 16 32
c
d H'00000000 8 2 .4 32 24 4
40
2.
CPU
2.4
@@a a:8 8
JMP
JSR
32 8
32 H'00 H'00000000 H'000000FF
e PC CCR 2.5 EXR PC 4
41
2.
CPU
2.5
42
2.
CPU
2.3
CPU 2.6 16M CPU 4G 64k
2.6
43
2.
CPU
2.4
2.4.1
CPU 2 2.7
*
*
2.7
CPU
44
2.
CPU
2.4.2
C PU 32 8
32
16
8
32 ER7 16 R R0 16 R7 ER
ER
ER 0
E E0 E7 16 E E0 E7
8 R L R 0L R 7L 16 2.8
R
RH R0H
R7H 8
2.8
ER7
SP
2.9
45
2.
CPU
2.9
2.4.3
24 EXR 8 PC 8 CCR
1
24
PC
C PU 2 0 C PU
2
8
EXR
LSI
7
T LSI
6
3 1
2
0 LSI
I2 I0
46
2.
CPU
3
8 H 8
CCR
CPU N Z V I C
7 1 I 1
I NMI
5
6 LDC S TC AND C OR C
UI XOR C 5
5 ADD .B ADD X.B S UB. B
H S UBX .B 1 C MP. B NEG .B 0 11 3
ADD .W
S UB. W C MP. W NEG .W ADD.L SUB.L CMP.L NEG.L
27
1
0
4 LDC
U STC ANDC ORC XORC
47
2.
CPU
3
N
2 1
Z 0
1
V 1
0
0
C 1 0
a b c
A.1
CCR ZVC
LDC STC ANDC ORC
XORC Bcc
N
2.4.4 CPU
C PU EXR 1 ER 7 T C CR MOV. L 0 PC EXR C CR I SP SP
48
2.
CPU
2.5
CPU 32 1 n 0,1,2, DAA ,7 DAS 10 2 4 B CD n 1 4 BCD 8 16
2.5.1
2.10
2.10 1
49
2.
CPU
2.10 2
50
2.
CPU
2.5.2
2.11 C PU
0 1
2.11
SP ER7
51
2.
CPU
2.6
2.6.1
C PU 65 2 .1
2.1
MOV POP * 1 LDM * 5 PUSH * 1 STM * 5
3
BWL WL L
3
5
MOVFPE* ADD
MOVTPE* CMP
B BWL B BWL L 19
SUB
NEG DAS
ADDX SUBX INC DEC ADDS SUBS MULXU DIVXU EXTU EXTS TAS * 4 AND SHAL
DAA
MULXS DIVXS
BW WL B
OR XOR
NOT SHLR ROTL ROTR
BWL BWL
4 8
SHAR SHLL
ROTXL ROTXR BSET BCLR BNOT BTST BLD BOR BILD BST BIXOR 5 ANDC ORC 9 B 14
BIST BAND BIAND Bcc * 2 JMP BSR
BIOR BXOR
JSR RTS STC
TRAPA RTE SLEEP LDC X ORC NO P
EEPMOV
1
65
B *1 POP.W Rn W PUSH.W Rn L MOV.W @SP+,Rn MOV.W Rn,@ SP
POP. L ER n PU SH. L ER n SP *2 Bcc *3 LSI
MOV. L @SP+, ERn MOV. L ER n,@
52
2.
CPU
*4 TAS *5 STM/LDM
ER0
ER1 ER0
ER4 ER6
ER5
53
2.
CPU
2.6.2
CPU 2.2
2.2
@-ERn/@ERn+
@(d:16, ERn)
@(d:32, ERn)
@(d:8, PC)
@(d:16, PC)
@aa:16
@aa:24
@aa:32
@aa:8
@ERn
#xx
Rn
@@aa:8
BWL MOV -- POP, PUSH -- LDM*3, STM*3 MOVFPE*1, -- 1 MOVTPE* ADD, CMP BWL SUB WL ADDX, SUBX B ADDS, SUBS -- INC, DEC -- DAA, DAS -- MULXU, -- DIVXU MULXS, -- DIVXS NEG -- EXTU, EXTS -- TAS*2 -- AND, OR, BWL XOR NOT -- -- -- Bcc, BSR -- JMP, JSR -- RTS -- TRAPA -- RTE -- SLEEP -- LDC B STC -- ANDC, B ORC, XORC NOP -- --
BWL -- -- -- BWL BWL B L BWL B BW BW BWL WL -- BWL BWL BWL B -- -- -- -- -- -- B B -- -- --
BWL -- -- -- -- -- -- -- -- -- -- -- -- -- B -- -- -- B -- -- -- -- -- -- W W -- -- --
BWL -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- W W -- -- --
BWL -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- W W -- -- --
BWL -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- W W -- -- --
B -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- B -- -- -- -- -- -- -- -- -- -- --
BWL -- -- B -- -- -- -- -- -- -- -- -- -- -- -- -- -- B -- -- -- -- -- -- W W -- -- --
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
BWL -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- B -- -- -- -- -- -- W W -- -- --
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- WL L -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
-- -- -- BW
B W L *1 LSI ER0 ER1 ER0 ER4 ER6 ER5
*2 TAS *3 STM/LDM
54
--
2.
CPU
2.6.3
2.3 2.10
Rd Rs Rn ERn (EAd) (EAs) EXR CCR N Z V C PC SP #IMM disp + CCR CCR CCR CCR N Z V C * 32 *
*
:8 *
:16
:24
:32
8 16 24 32 8 R0H ER0 R7H R0L ER7 R7L 16 R0 R7 E0 E7
32
55
2.
CPU
2.3
*1 MOV B W L (EAs) Rd Rs (EAd)
MOVFPE MOVTPE POP
B B WL
LSI LSI @SP+ Rn
POP.W Rn POP.L ERn PUSH WL Rn @-SP
MOV.W @SP+, Rn MOV.L @SP+, ERn
PUSH.W Rn PUSH.L ERn LDM* 2 L @SP+ Rn
MOV.W Rn, @-SP MOV.L ERn, @-SP
STM* 2
L
Rn
@-SP
*1 B W L *2 STM/LDM ER0 ER6
56
2.
CPU
2.4
* ADD SUB B W L Rd Rs Rd Rd #IMM Rd
SUBX
ADD
ADDX SUBX
B
Rd
Rs
C Rd
Rd
IMM C Rd
INC DEC
B W L Rd
1 Rd
Rd
2 Rd 1 2 1
ADDS SUBS DAA DAS
L
Rd 32
1 Rd
Rd
2 Rd 12
Rd
4 Rd 4
B
Rd(10
)
Rd CCR 4 BCD
MULXU
BW
Rd
Rs
Rd
8
8
16
16
16
32
MULXS
BW
Rd
Rs
Rd
8
8
16
16
16
32
DIVXU
BW
Rd
Rs
Rd
16 32 DIVXS BW Rd Rs
8 16 Rd
8 16
8 16
16 32
8 16
8 16
8 16
57
2.
CPU
*1 CMP B W L Rd Rs Rd #IMM
CCR NEG B W L 0 Rd Rd 2 EXTU WL Rd( 16 32 ) Rd 8 16
EXTS
WL
Rd( 16 32
)
Rd 8 16
TAS
B
@ERd
01
(
7 of @ERd) * 2 7 1
*1 B W L *2 STM/LDM ER0 ER6
58
2.
CPU
2.5
* AND B W L Rd Rs Rd Rd #IMM Rd
OR
B W L Rd
Rs
Rd
Rd
#IMM Rd
XOR
B W L RdRs
Rd
Rd#IMM Rd
NOT
BWL
Rd
Rd 1
* B W L
2.6
* SHAL SHAR 1 SHLL SHLR 1 ROTL ROTR 1 ROTXL ROTXR 1 * B W L 2 B W L Rd( 2 ) Rd B W L Rd( 2 ) Rd B W L Rd( ) 2 Rd B W L Rd( ) Rd
59
2.
CPU
2.7
* BSET B 1 (< >of) 1 3 3 BCLR B 0 (< >of) 1 3 3 BNOT B (< >of) (< >of) 1 3 3 BTST B (< >of) Z 1 3 3 BAND B C (< >of) C 1 0 1
BIAND
B
C
(<
>of)
C 1
3 BOR B C (< >of) C 1
BIOR
B
C
(<
>of))
C 1
3
60
2.
CPU
* BXOR B C(< >of) C 1
BIXOR
B
C
(<
>of)
C 1
3 BLD B (< >of) C 1
BILD
B
(<
>of)
C 1
3 BST B C (< >of) 1
BIST
B
C (<
>of) 1
3 * B
61
2.
CPU
2.8
Bcc
BRA (BT) BRN (BF) BHI BLS BCC (BHS) BCS (BLO) BNE BEQ BVC BVS BPL BMI BGE BLT BGT BLE
Always (True) Never (False) HIgh Low or Same Carry Clear (High or Same) Carry Set (LOw) Not Equal EQual oVerflow Clear oVerflow Set PLus MInus Greater or Equal Less Than Greater Than Less or Equal
Always Never C C C C Z0 Z1 0 1
Z0 Z1 V0 V1 N N 0 1
NV 0 NV 1 Z (NV) 0 Z (NV) 1
JMP BSR JSR RTS
62
2.
CPU
2.9
* TRAPA RTE SLEEP LDC BW (EAs) CCR (EAs) EXR CCR EXR CCR EXR CCR EXR CCR EXR 8 8
STC
BW
CCR (EAd) EXR CCR EXR EXR 8 8
(EAd) CCR CCR EXR
ANDC
B
CCR #IMM CCR EXR CCR EXR
#IMM EXR
ORC
B
CCR #IMM CCR EXR CCR EXR
#IMM EXR
XORC
B
CCR#IMM CCR EXR#IMM EXR CCR EXR
NOP
PC+2 PC * B W
PC
63
2.
CPU
2.10
* EEPMOV.B if R4L 0 then @ER6+
Repeat @ER5+ R4L 1 R4L 0
Until R4L else next;
EEPMOV.W
if R4
0 then @ER6+
Repeat @ER5+ R4 1 R4 0
Until R4 else next;
ER5 R4 ER6
R4L
2.6.4
CPU op cc 2.12 2 r EA EA
64
2.
CPU
2.12
1
4 2
2
3 3 4 2
3
EA
8 16 32
4
Bcc
2.6.5
BSET BCLR BNOT BST BIST
I/O
0
B CLR 1
65
2.
CPU
2.7
2.7.1
CPU 2.11 8
B SET B CLR
B NOT B TST
3
2.11
No. 1 2 3 4 Rn @ERn @(d:16,ERn) @ERn+ @-ERn 5 6 7 8 @aa:8 #xx:8 @aa:16 #xx:16 @aa:24 @aa:32 @(d:32,ERn)
#xx:32
@(d:8,PC) @@aa:8
@(d:16,PC)
1
Rn
8 16 32
8 16 32
R0H R0 ER0
R7H R7
R0L E0 E7
R7L
ER7
66
2.
CPU
2
@ERn
ERn
24
8
0 H'00
3
16
@ d:16,ERn
@ d:32,ERn
ERn 32
16
4
a
@ERn+
@ERn+ ERn
@-ERn
12 4 2 4 1
b
@-ERn ERn 1
2
4 1 4 2
67
2.
CPU
5
@aa:8
@aa:16 @aa:24 @aa:32
8 @aa:8 16 @aa:16 24 @aa:24
32
@aa:32 8 8 16 16 @aa:8 16 @aa:16 24 32 1 H'FFFF 32 @aa:32
24 H'00
@a a:24
8
0
2.12
2.12
8 @aa:8 16 @aa:16 32 @aa:32 24 @aa:24
H'FF00 H'FFFF
H'FFFF00 H'FFFFFF
H'0000 H'FFFF
H'000000 H007FFF H'FF8000 H'FFFFFF
H'000000 H'FFFFFF
6
#xx:8
#xx:16 #xx:32
8 #xx:8 16 #xx:16 32 #xx:32
ADDS SUBS INC DEC 3 TR AP A 2
68
2.
CPU
7
B cc B SR
@ d:8, PC
PC 8
@ d:16, PC
24 16 24 24 PC 126 128 63 64 8 0 H'00 24
32766
32768
16383
16384
8
@@aa:8
JMP JSR 8
8 0 255
0 H'0000 H'00F F
H'000000 H'0000FF 16
1
0
H'00
4
2.13
69
2.
CPU
0 2.5.2
1
2.7.2
EA 2.13 8 16 Eff ec tive Addr ess
70
2.
CPU
71
2.
CPU
72
2.
CPU
73
2.
CPU
2.8
2.8.1
LSI 5 2.14 2.15
*
*
2.14
74
2.
CPU
L S SO SL SB N EE Y 0 P0
0 ON LS S 0 1 PS BY SS EEP SL
RES High STBY High RES Low
*1
*2
*3
*1
RES
Low
*2
STBY
Low
*3 25
2.15
2.8.2
R ES Low C PU
RES
Low
High
14
WDT
75
2.
CPU
2.8.3
CPU
1
2 .1 4
S YSC R
2.14
RES
Low
High
*1
TRAPA
TRAPA *2
*1 ANDC ORC XORC LDC
*2
76
2.
CPU
2
RES Low RES High
CPU
NMI
3
CPU SP ER7
CPU
2.16
*
*
2.16
2.8.4
CPU
77
2.
CPU
2.8.5
CPU CPU CPU 6 DTC
2.8.6
C PU CPU C PU
C PU CPU
25
1
SBYCR S LEEP SLEEP CPU SSBY 0 LPWRCR LSON 0 C PU
2
S BYC R 0 TC SR WD T1 P SS 0 S SB Y S LEEP CPU CPU RAM I/O MCU 1 LP WR CR LS ON
3
STBY CPU Low MCU
RAM
78
2.
CPU
2.9
2.9.1
C PU 1 12 3
2.9.2
ROM
RAM
1 16
2.17
2.18
2.17
79
2.
CPU
AS RD HWR LWR
2.18
2.9.3
2 8 16 2.19 I/O 2.20
2.19
80
2.
CPU
AS RD HWR LWR
2.20
2.9.4
8 2 3 6 3 16
81
2.
CPU
2.10
2.10.1 TAS
TAS H8S H8/300 TAS ER5 ER0 C /C + + ER1 ER4 ER5 TAS ER0 ER1 ER4
2.10.2
STM/LDM
S TM/LD M LDM LDM 2 3 4 ER 7 S TM S TM
2 3 4
ER0--ER1 ER0--ER2 ER0--ER3
ER2--ER3 ER4--ER6
ER4--ER5
H8S H8/300
C/C++
ER7
STM/LDM
82
3. MCU
3
3.1 ......................................................................................................................................... 85 3.1.1 3.1.2 3.2 3.2.1 3.2.2 3.2.3 3.2.4 3.3 3.3.1 3.3.2 3.3.3 3.4 3.5 .................................................................................... 85 ........................................................................................... 86 ..................................................................................................................... 87 MDCR ................................................... 87 ............................................... 88
SYSCR BCR
.......................................................... 90 STCR ....................................... 91
.................................................................................................................. 93 1................................................................................................... 93 2................................................................................................... 93 3................................................................................................... 93 ................................................................................................. 94 ................................................................................................. 95
3.
MCU
84
3.
MCU
3.1
3.1.1
LSI 3 MD1 MD0 1 3 3.1 CPU ROM
3.1
MCU
3.1
MCU
MD1 MD0 CPU ROM
MCU
0 1
0
0 1 ROM
2
1
0
ROM
3
1
ROM
CPU
4G
LSI
16M
1 2 MDCR EXPE 3
1 3
3
LSI
1
85
3.
MCU
3.1.2
LSI MDC R LS I BCR STCR 3.2 MD1 MD0 S YSC R
3.2
R/W MDCR SYSCR BCR STCR * 16 R/W R/W R/W R/W H'09 H'D7 H'00 H'FFC5 H'FFC4 H'FFC6 H'FFC3 *
86
3.
MCU
3.2
3.2.1 MDCR
* * *
*
*
MDCR
8
LSI
EXP E
7
EXPE 1 1
2
3
0
7 EXPE 0 1
6
2 0
1
0 MD1 MDS1 MDS0 MD0
1
0
MDS1
MDS0
MD1 MD0 MDC R MD1 MD0
87
3.
MCU
3.2.2
SYSCR
S YSC R
8 NMI R AM
7
63
1
0
R AM 5.2.1 SYSCR SYSCR
5
4
2
H'09
7
2 C S2
CS2E 18 H8S /2144 1
6
IOS AS/IOS
IOSE
6 IOSE 0 AS/IOS Low 1 AS/IOS I/O H'(FF)F000 * H8S/2148 F-ZTAT H'(FF)F7FF A IOS H'(FF)FE4F* H8S/2147 F-ZTAT A Low H'(FF)F000 AS
88
3.
MCU
3 XRST
XRST
XR ST 0
1
3 XRST 0 1
1
HIE HI CR ID R1 ODR 1 S TR1
IDR2 ODR2 STR2 KMIMR KMP CR KMIMR A 8 XY
MOS
TC RX /T CR Y TC SR X/TC SR Y TIC R R/TC OR AY TIC R F/TC OR BY TC NTX/TC NTY TC OR C/TI SR TC OR AX TC OR BX TCONRI TCONRO TCONRS SEDGR CPU
1 HIE 0 H'(FF)FFF0 H'(FF)FFF7 H'(FF)FFFC XY CPU 1 H'(FF)FFF0 H'(FF)FFF7 H'(FF)FFFC H'(FF)FFFF H'(FF)FFFF 8
MOS
CPU
89
3.
MCU
0
RAM
RAME RAME
RAM
0 RAME 0 1 RAM RAM
3.2.3
BCR
BCR AS
8 I/O 6.2.1 I/O BCR H'D7 7 2
BCR
1 IOSE 1
0
IOS
1 AS/IOS
0
IOS1 Low
IOS0
BCR 1 IOS1 0 IOS0 0 1 1 0 1 * H8S/2148 F-ZTAT H'(FF)F7FF H'(FF)F000 H'(FF)F03F H'(FF)F000 H'(FF)F0FF H'(FF)F000 H'(FF)F3FF H'(FF)F000 H'(FF)FE4F* A H8S/2147 F-ZTAT A Low Low Low Low H'(FF)F000 0
90
3.
MCU
3.2.4
7 IICS 0 R/W R/W 6 IICX1 0 R/W 5 IICX0 0 R/W 4 IICE 0 R/W
STCR
3 FLSHE 0 R/W 0 R/W 2 1 ICKS1 0 R/W 0 ICKS0 0 R/W
S TCR
8 IIC TCNT STCR 1
II C F-ZTAT
S TCR
H'00
7
5 A
I2C
IICS IICX1 IICX0 II C 16.2.7 I2C
STCR
4 IC IC MR /S AR
2
I 2C
IICE IC C R IC S R IC DR /SAR X P WMX DAD RA H/DAC R DAD RA L SMR BRR SCMR CPU
DADRBH/DACNTH DADRBL/DACNTL) SCI
91
3.
MCU
4 IICE 0 H'(FF)FF88 H'(FF)FF89 H'(FF)FF8E H'(FF)FF8F SCI1
H'(FF)FFA0 H'(FF)FFA1 H'(FF)FFA6 H'(FF)FFA7
SCI2
H'(FF)FFD8 H'(FF)FFD9 H'(FF)FFDE H'(FF)FFDF
SCI0
1
H'(FF)FF88 H'(FF)FF89 H'(FF)FF8E H'(FF)FF8F
IIC1
H'(FF)FFA0 H'(FF)FFA1 H'(FF)FFA6 H'(FF)FFA7 PWMX H'(FF)FFD8 H'(FF)FFD9 H'(FF)FFDE H'(FF)FFDF IIC0
3
FLSHE FLMCR1 FLMCR2 EBR1 EBR2 SBYCR LPWRCR MSTPCRH MSTPCRL PCSR SYSCR2 CPU
3 FLSHE 0 H'(FF)FF80 H'(FF)FF87
1
H'(FF)FF80 H'(FF)FF87 F-ZTAT
2 1
1 TC R 12.2.4
0 C KS2 C KS0 TC NT TCR
1
0
ICKS1
ICKS0
92
3.
MCU
3.3
3.3.1 1
CPU 12 WSCR 3 ABW 0 64k 9 B ROM
3.3.2
2
CPU 16M ROM
MDCR MDCR
EXPE EXPE
1 1 DDR 3 WS C R AB W 0 12A 1 9
B
3.3.3
3
CPU 64k ROM
MDCR MDC R
EXPE EXP E
1 1 DDR 3 WS C R AB W 0 1 2 1 9
B R OM 56k 64k R OM
93
3.
MCU
3.4
1 3 3.3 9 AB
3.3
1 1 2 A 3 B 9 P97 P96 P95 P93 P92 P91 P90 A A P D P* P* C* C P P* C D C P P* P* P* P* P* P* P* P* P P* C 2 A A A D D C C C P* P* P P* P* P* P* P* P P* C D D C C C 3 A A
P A D C *
94
3.
MCU
3.5
3.1 13 16M LSI H8S /2143 ROM 128k ROM I/O 64k H8S/2142 H8S/2147 H8S/2147N H8S /2144 H8S /2148 56k 3 96k 3.5 64k 2
6
95
3.
MCU
1 ROM
3 ROM
EXPE=1
3
EXPE=0
H'0000
H'0000
H'0000
ROM
ROM
H'DFFF
H'DFFF
H'E080 RAM* H'EFFF H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF *
H'E080 RAM* H'EFFF I/O
RAM 128
H'E080 RAM H'EFFF H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF I/O RAM 128 I/O 1 2
2
*
I/O
1 0
H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF
I/O
RAM 128
2
*
I/O
1
SYSCR RAME
3.1
H8S/2148 F-ZTAT A 1
H8S/2144
96
3.
MCU
*
*
*
3.1
H8S/2148 F-ZTAT A 2
H8S/2144
97
3.
MCU
1 ROM
3 ROM
EXPE=1
3
EXPE=0
H'0000
H'0000
H'0000
ROM
ROM
H'DFFF
H'DFFF
H'E080 RAM* H'EFFF H'F800 H'FE4F H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF
H'E080 RAM* H'EFFF H'F800 H'FE4F H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF
H'E080 RAM H'EFFF
I/O
RAM 128
2
*
I/O
RAM 128
2
*
I/O
1
I/O
1
H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF
I/O RAM 128 I/O
2
1
*
SYSCR
RAME
0
3.2
H8S/2148 F-ZTAT A
1
98
3.
MCU
2 ROM
EXPE=1
2
EXPE=0
H'000000
H'000000
ROM
ROM
H'01FFFF H'020000 H'FFE080 RAM* H'FFEFFF H'FFF800 H'FFFE4F H'FFFE50 H'FFFEFF H'FFFF00 H'FFFF7F H'FFFF80 H'FFFFFF
H'01FFFF
H'FFE080 RAM H'FFEFFF
I/O
RAM 128
2
I/O
1
H'FFFE50 H'FFFEFF H'FFFF00 H'FFFF7F H'FFFF80 H'FFFFFF
I/O RAM 128 I/O
2
1
*
SYSCR
RAME
0
3.2
H8S/2148 F-ZTAT A
2
99
3.
MCU
1 ROM
3 ROM
EXPE=1
3
EXPE=0
H'0000
H'0000
H'0000
ROM
ROM
H'DFFF
H'DFFF
H'E080 RAM* H'EFFF H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF * I/O
RAM 128
H'E080 RAM* H'EFFF 2
*
H'E080 RAM H'EFFF H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF I/O RAM 128 I/O 1 2
I/O
1 0
H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF
I/O
RAM 128
2
*
I/O
1
SYSCR RAME
3.3
H8S/2143
1
100
3.
MCU
2 ROM
EXPE=1
2
EXPE=0
H'000000
H'000000
ROM
ROM
H'017FFF
H'017FFF
H'01FFFF H'020000 H'FFE080 RAM* H'FFEFFF H'FFFE50 H'FFFEFF H'FFFF00 H'FFFF7F H'FFFF80 H'FFFFFF * I/O
RAM 128
H'01FFFF
H'FFE080 RAM H'FFEFFF 2
*
I/O
1 0
H'FFFE50 H'FFFEFF H'FFFF00 H'FFFF7F H'FFFF80 H'FFFFFF
I/O RAM 128 I/O
2
1
SYSCR RAME
3.3
H8S/2143
2
101
3.
MCU
1 ROM
3 ROM
EXPE=1
3
EXPE=0
H'0000
H'0000
H'0000
ROM
ROM
H'DFFF
H'DFFF
H'E080 * H'E880 RAM* H'EFFF H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF * I/O
RAM 128
H'E080 * H'E880 RAM* H'EFFF 2
*
H'E080 H'E880 H'EFFF H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF I/O RAM 128 I/O 1 2
RAM
I/O
1 0
H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF
I/O
RAM 128
2
*
I/O
1
SYSCR RAME
3.4
H8S/2147 F-ZTAT A 1
H8S/2147N
H8S/2142
102
3.
MCU
2 ROM
EXPE=1
2
EXPE=0
H'000000
H'000000
ROM
ROM
H'00FFFF
H'00FFFF
H'01FFFF H'020000 H'FFE080 * H'FFE880 H'FFEFFF H'FFFE50 H'FFFEFF H'FFFF00 H'FFFF7F H'FFFF80 H'FFFFFF * I/O
RAM 128
H'01FFFF
H'FFE080 H'FFE880 RAM H'FFEFFF 2
*
RAM*
I/O
1 0
H'FFFE50 H'FFFEFF H'FFFF00 H'FFFF7F H'FFFF80 H'FFFFFF
I/O RAM 128 I/O
2
1
SYSCR RAME
3.4
H8S/2147 F-ZTAT A 2
H8S/2147N
H8S/2142
103
3.
MCU
1 ROM
3 ROM
EXPE=1
3
EXPE=0
H'0000
H'0000
H'0000
ROM
ROM
H'DFFF
H'DFFF
H'E080 H'E880 RAM* H'EFFF H'F800 H'FE4F H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF
H'E080 * H'E880 RAM* H'EFFF H'F800 H'FE4F H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF *
H'E080 H'E880 RAM H'EFFF
I/O
RAM 128
2
*
I/O
RAM 128
2
*
I/O
1
I/O
1
H'FE50 H'FEFF H'FF00 H'FF7F H'FF80 H'FFFF
I/O RAM 128 I/O
2
1
*
SYSCR
RAME
0
3.5
H8S/2147 F-ZTAT A
1
104
3.
MCU
2 ROM
EXPE=1
2
EXPE=0
H'000000
H'000000
ROM
ROM
H'00FFFF
H'00FFFF
H'01FFFF H'020000 H'FFE080 * H'FFE880 RAM* H'FFEFFF H'FFF800 H'FFFE4F H'FFFE50 H'FFFEFF H'FFFF00 H'FFFF7F H'FFFF80 H'FFFFFF
H'01FFFF
H'FFE080 H'FFE880 RAM H'FFEFFF
I/O
RAM 128
2 *1 1
I/O
H'FFFE50 H'FFFEFF H'FFFF00 H'FFFF7F H'FFFF80 H'FFFFFF
I/O RAM 128 I/O
2
1
*
SYSCR
RAME
0
3.5
H8S/2147 F-ZTAT A
2
105
3.
MCU
106
4.
4
4.1 ....................................................................................................................................... 109 4.1.1 4.1.2 4.1.3 4.2 ........................................................................ 109 ...................................................................................... 110 .............................................................. 110
................................................................................................................................. 112 4.2.1 4.2.2 4.2.3 ...................................................................................................... 112 ............................................................................... 112 ............................................................................ 114
4.3 4.4 4.5 4.6
.................................................................................................................................... 115 .......................................................................................................................... 116 ................................................................................................... 117 ............................................................................................................. 118
4.
108
4.
4.1
4.1.1
4.1 4.1
SYSCR
INTM0 INTM1 CPU
4.1
RES
Low
High
*1
T
1
*2 SLEEP *3 TRAPA *1 RTE *2 ANDC ORC XORC LDC 23 LSI TRAPA
*3
109
4.
4.1.2
1
PC
C CR
2 3
T
0
2
3
4.1.3
4.1
4.2
4.1
110
4.
4.2
*1
0 1 2 3 4 5 6 NMI 4 7 8 9 10 11 12 13 14 15 IRQ0 IRQ1 IRQ2 IRQ3 IRQ4 IRQ5 IRQ6 IRQ7 *
2
H'0000 H'0001 H'0002 H'0003 H'0004 H'0005 H'0006 H'0007 H'0008 H'0009 H'000A H'000B H'000C H'000D
H'0000 H'0003 H'0004 H'0007 H'0008 H'000B H'000C H'000F
H'0010 H'0013 H'0014 H0017 H'0018 H001B H'001C H'001F
H'000E H'000F H'0010 H'0011 H'0012 H'0013 H'0014 H'0015 H'0016 H'0017 H'0018 H'0019 H'001A H'001B H'001C H'001D
H'0020 H'0023 H'0024 H'0027 H'0028 H'002B H'002C H'002F
H'0030 H'0033 H'0034 H'0037 H'0038 H'003B H'003C H'003F
H'001E H'001F H'0020 H'0021 H'0022 H'0023 H'0024 H'0025 H'0026 H'0027 H'0028 H'0029 H'002A H'002B H'002C H'002D
16 17 18 19 20 21 22 23 24
H'0040 H'0043 H'0044 H'0047 H'0048 H'004B H'004C H'004F
H'0050 H'0053 H'0054 H'0057 H'0058 H'005B H'005C H'005F
H'002E H'002F H'0030 H'0031
H'0060 H'0063
103 *1 *2 16
H'00CE
H'00CF
H'019C
H'019F
5. 3.3
111
4.
4.2
4.2.1
RES Low CPU 0 RES Low High LSI
14
WDT
4.2.2
RES LSI 20 D.1 Low LSI 20ms Low RES Low
R ES LSI 1 CPU 1 2
Low
High
CCR
I
PC
PC
4.2
4.3
112
4.
RES
1
3
High
2
4
1 2 3 4
1 3 2
H'0000
4.2
3
*
*
*
RES
1
3
5
RD
HWR
LWR
High
D15
D8
2
4
6
1 2 5 6
3 4 5 2 4
1
H'0000
3
H'0001
*
3
4.3
1
113
4.
4.2.3
SP C CR NMI 1 SP MOV.L xx 32, SP PC
114
4.
4.3
23 KIN0 9 NMI IR Q7 IR Q0 4.4 WD T FRT SCI ADC I2C HIF 8 TMR DTC A/D PS2 16 NMI IR Q7 IR Q0 KIN15
NMI 2 3 NMI
5
NMI IRQ7
1 IRQ0 8
WDT*1 2 FRT TMR 7 10
SCI 12 DTC ADC HIF PS2 IIC 3 1 1 1 4 3
*
WDT
4.4
115
4.
4.4
TR AP A TRAPA 0 3
4.3
CCR EXR
4.3
CCR
CCR I 0 1 1 1 1 UI
EXR
EXR I2 I0 T
1 0
1 0
116
4.
4.5
4.5
*
*
4.5
1
*
4.5
2
117
4.
4.6
LSI 0 SP ER7
PUSH.W Rn PUSH.L ERn
MOV.W Rn, @-SP MOV.L ERn, @-SP
POP.W Rn POP.L ERn
MOV.W @SP+, Rn MOV.L @SP+, ERn
SP 4.6
SP
CCR SP PC
SP
R1L PC
H'FFEFFA H'FFEFFB H'FFEFFC H'FFEFFD
SP
H'FFEFFF
TRAPA SP H'FFEFFF SP
MOV.B
R1L,
-ER7 CCR
CCR PC R1L SP
R1L 0
4.6
SP
118
5.
5
5.1 ....................................................................................................................................... 121 5.1.1 5.1.2 5.1.3 5.1.4 5.2 5.2.1 5.2.2 5.2.3 5.2.4 5.2.5 5.2.6 5.2.7 5.2.8 5.2.9 5.3 AB C IRQ IRQ IRQ ISR IER ...................................................................................................... 121 ............................................................................................ 122 ................................................................................................ 122 ......................................................................................... 123 ................................................................................................................... 124 SYSCR AC ............................................. 124 ICRA ICRC ..................... 125
............................................................. 126 H L ISCRH ISCRL ...................... 127
............................................................. 128 KMIMR KMIMRA ABRKCR BARA ........................ 130 ...................... 130
............................. 132 .............. 133
BARB BARC
............................................................................................................................. 134 5.3.1 5.3.2 5.3.3 ............................................................................................ 134 ............................................................................................ 136 .............................................................. 137 ................................................................................................................... 139 5.4.1 5.4.2 5.4.3 5.4.4 ...................................................................................................... 139 ............................................................................................ 139 ................................................................................................ 140 ......................................................................................... 140
5.4
5.5
............................................................................................................................. 142 5.5.1 5.5.2 .............................................................. 142 0 ................................................................................ 145
5.
5.5.3 5.5.4 5.5.5 5.6
1 ................................................................................ 147 ..................................................................... 150 ...................................................................................... 151
.......................................................................................................................... 152 5.6.1 5.6.2 5.6.3 EEPMOV ................................................. 152 ..................................................................... 153 ................................................................. 153
5.7
DTC 5.7.1 5.7.2 5.7.3
........................................................................................................ 154 ...................................................................................................... 154 ............................................................................................ 154 ................................................................................................ 155
120
5.
5.1
5.1.1
LSI
2 SYSCR INTM1 INTM0 2
ICR ICR NMI 3
23 NMI
9 NMI NMI
IRQ7 IRQ0
IRQ7 IRQ0
IR Q6
IRQ6 IRQ7 KIN15 KIN8
8 IRQ7
KIN7
KIN0 8
KIN15
KIN0
DTC DTC
121
5.
5.1.2
5.1
INTM1 INTM0 SYSCR NMIEG NMI IRQ NMI IRQ ISR ISCR IER
CPU
I SWDTEND
UI
CCR
ICR
ISCR IER ISR ICR SYSCR
IRQ IRQ IRQ
5.1
5.1.3
5.1
5.1
NMI
IRQ7 70 IRQ0 KIN15 15 0 KIN0
122
5.
5.1.4
5.2
5.2
R/W SYSCR IRQ IRQ IRQ IRQ H L ISCRH ISCRL IER ISR KMIMR A A B C KMIMRA ICRA ICRB ICRC ABRKCR A B C *1 *2 * 3 KMI MR KMI MR A MSTP2 0 16 0 SYSC R HI E 1 BARA BARB BARC R/W R/W R/W R/W R/(W)* 2 R/W R/W R/W R/W R/W R/W R/W R/W R/W H'09 H'00 H'00 H'00 H'00 H'BF H'FF H'00 H'00 H'00 H'00 H'00 H'00 H'00 *1 H'FFC4 H'FEEC H'FEED H'FFC2 H'FEEB H'FFF1* 3 H'FFF3* 3 H'FEE8 H'FEE9 H'FEEA H'FEF4 H'FEF5 H'FEF6 H'FEF7
MSTPCRL
123
5.
5.2
5.2.1 SYSCR
SYSCR
8 NMI 54 2 SYSCR
542
3.2.2 SYSCR
H'09
5
4
1
0
INTM1 4
INTM0
INTM1
1
5 INTM1 0
4 INTM0 0 1 0 1 2 3 I I UI LSI LSI ICR
1
0 1
2 NMI
NMI
NMIEG
2 NMIEG 0 1 NMI NMI
124
5.
5.2.2
A C ICRA ICRC
ICR
8
3
NMI
ICR ICR
5.3 H'00
n
ICRn
n ICRn 0 1 0 1 n=7 0
5.3
ICR
7 ICRA IRQ0
6 IRQ1
5 IRQ2 IRQ3
4 IRQ4 IRQ5
3 IRQ6 IRQ7
2 DTC
1
0
0 ICRB A/D 8 8 8 HIF
1
0
1 XY
ICRC
SCI 0
SCI 1
SCI 2
IIC 0
(
IIC 1
)
)(
125
5.
5.2.3 IRQ
IER
IER
8
IR Q7
IR Q0
IER
H'00
7
0
IRQ7 IRQ0
IRQ7E IRQ0E
IRQ7 IRQ0
n IRQnE 0 1 IRQn IRQn n70
126
5.
5.2.4 IRQ
HL
ISCRH
ISCRL
ISCR
8
2
IRQ7 IRQ0
ISCR
H'00
ISCRH
7
0
ISCRL IRQ7 IRQ0
7
0 A A B B IRQ7SCA IRQ0SCA IRQ7SCB IRQ0SCB
IRQ7SCB IRQ0SCB 0
IRQ7SCA IRQ0SCA 0 1 IRQ7 IRQ0 IRQ7 IRQ0 IRQ7 IRQ0 IRQ7 IRQ0 Low
1
0 1
127
5.
5.2.5 IRQ
ISR
* *
*
*
*
*
*
*
*
IS R
8
IR Q7
IR Q0
ISR
H'00
7
0
IRQ7 IRQ0
IRQ7F IRQ0F
IRQ7 IRQ0
n IRQnF 0 1 IRQnF 1 2 Low High 3 IRQnSCB 1 * 1 1 Low Low 2 IRQn 3 IRQn 4 IRQnSCB IRQnSCA 1 IRQn IRQnSCB 1 IRQnSCA 0 IRQnSCB 0 IRQnSCA 1 IRQnSCB IRQnSCA 0 IRQn IRQnSCA 1 IRQn 0 IRQnSCB IRQnSCA 0 * IRQn
n70 * DT C 1 1 DT CEA3 1 AD I I RQ4F
128
5.
2 DT CEA2
1
I CIA
I RQ5F
3 DT CEA1
1
I CIB
I RQ6F
4 DTCEA0
1
OCIA
IRQ7F
DTC
IRQ
IRQ
129
5.
5.2.6
KMIMR
KMIMR KI N7
8 KI N0 IR Q6
0 KMIMR KIN6 H'BF IRQ6
7
0 KIN7 KIN0
KMIMR7
KMIMR0
70 KMIMR7 0 0 1 * 0 KMIMR6 IRQ6 *
5.2.7
KMIMRA
KMIMR A KIN15
8 KIN8
0 KMIMR A H'F F
130
5.
7
0 KIN15 KIN8
KMIMR15 KMIMR8
70 KMIMR15 8 0 1
IRQ7 IRQ6
KIN15
KIN0
KMIMR KMIMRA
5.2
KMIMR0 P60/ KIN0
KMIMR5 P65/ KIN5 KMIMR6 P66/ KIN6/ IRQ6 KMIMR7 P67/ KIN7/ IRQ7
IRQ6
IRQ6 IRQ6E IRQ6SC
KMIMR8 PA0/ KIN8 KMIMR9 PA1/ KIN9
IRQ7
IRQ7 IRQ7E IRQ7SC
KMIMR15 PA7/ KIN15
5.2
IRQ7 IRQ6
KIN15
KIN0
KMIMR KMIMRA
KMIMR 15
KMIMR 8
1
0 KIN7 KIN0
IR Q7 KIN15 KIN8 IR Q6
IR Q7
IR Q7
Low
131
5.
5.2.8
7 CMF 0 R/W R 0 0 0 6 5 4
ABRKCR
3 0 2 0 1 0 0 BIE 0 R/W
ABRKCR
8
AB R KCR
H'00
7
CMF B AR CMF BIE 1
7 CMF 0
1 BIE=1 BARA BARC
6
1 0
0
BIE
0 BIE 0 1
132
5.
5.2.9
A B C BARA BARB BARC
BAR
8 BAR
3
BARA B C
B AR
H'00
BARA BARB BARC
7 7 7
0 0 1
23 16 A23 15 8 7 1 A15
A16 A8
A7 A1 BAR A23 A1
A23 A1
A23 A16
BARC
0 0
133
5.
5.3
NMI IRQ7 IRQ0
5.3.1
NMI IR Q7 9 IR Q0 KIN15 KIN8 KIN0 25 KIN0 IRQ6 23 KIN15 IRQ7 KIN7
NMI IRQ7 IRQ6 IRQ2 IRQ0
1
NMI
NMI NMI SYSCR NMI 7 NMIEG C PU
2
IRQ7 IRQ0
IR Q7 IR Q0 IR Q7 IR Q0 IR Q7 IR Q0
a IR Q7
IR Q0
Low ISCR
b
IRQ7 IRQ0
IER
c ICR d IRQ7 IRQ0 0 ISR ISR
IRQ7 IRQ0
5.3
IRQn
5.3
IRQ7 IRQ0
134
5.
IRQnF
5.4
IRQn
5.4
IRQnF
IRQ7 IRQ0 IR Q7 IR Q0
23
16
DDR
0
IRQ6
IRQ6
KMIMR6
0
IR Q7 KMIMR8 IRQ7
IR Q7 1 IRQ7 IRQ7F IRQ0F IER 1
KMIMR 15 0
3
KIN15 KIN0
KI N15 KIN0 0 KMIMR KI N15 KI N8 IR Q7 KI N7 KI N0 1 IR Q6 KI N0 KIN15 KIN0 KMIMR KIN15
IRQ7 KIN7 KIN0
IRQ6
KIN15 KIN8 IRQ6 IRQ7
Low
135
5.
5.3.2
43 1 1
1 2 3 ICR FRT TMR SCI DTC DTC C PU
136
5.
5.3.3
5.4
IC R
2 5.4
5.4
1
ICR
NMI IRQ0 IRQ1 IRQ2 IRQ3 IRQ4 IRQ5 IRQ6 KIN7 KIN0
7 16 17 18 19 20 21 22 23 DTC 24
H'000E H'0020 H'0022 H'0024 H'0026 H'0028 H'002A H'002C H'002E H'0030
H'00001C H'000040 H'000044 H'000048 H'00004C H'000050 H'000054 H'000058 H'00005C H'000060 ICRA2 ICRA3 ICRA4 ICRA7 ICRA6 ICRA5
IRQ7 KIN15 KIN8 SWDTEND
WOVI0 WOVI1 PC ADI A/D A/D
0 1
25 26 27 28 29
H'0032 H'0034 H'0036 H'0038 H'003A
H'000064 H'000068 H'00006C H'000070 H'000074
ICRA1 ICRA0
ICRB7
47 ICIA ICIB ICIC ICID OCIA OCIB FOVI A B C D A B 48 49 50 51 52 53 54 55 56
H'005E H'0060 H'0062 H'0064 H'0066 H'0068 H'006A H'006C H'006E H'0070
H'0000BC H'0000C0 H'0000C4 H'0000C8 H'0000CC H'0000D0 H'0000D4 H'0000D8 H'0000DC H'0000E0 ICRB6
63
H'007E
H'0000FC
137
5.
5.4
2
ICR
CMIA0 CMIB0 OVI0
A B
8 0
64 65 66 67
H'0080 H'0082 H'0084 H'0086 H'0088 H'008A H'008C H'008E H'0090 H'0092 H'0094 H'0096 H'0098 H'009A H'009C H'009E H'00A0 H'00A2 H'00A4 H'00A6 H'00A8 H'00AA H'00AC H'00AE H'00B0 H'00B2 H'00B4 H'00B6 H'00B8 H'00BA H'00BC H'00BE H'00C0 H'00C2 H'00C4 H'00C6 H'00C8
H'000100 H'000104 H'000108 H'00010C H'000110 H'000114 H'000118 H'00011C H'000120 H'000124 H'000128 H'00012C H'000130 H'000134 H'000138 H'00013C H'000140 H'000144 H'000148 H'00014C H'000150 H'000154 H'000158 H'00015C H'000160 H'000164 H'000168 H'00016C H'000170 H'000174 H'000178 H'00017C H'000180 H'000184 H'000188 H'00018C H'000190
ICRB3
CMIA1 CMIB1 OVI1
A B
8 1
68 69 70 71
ICRB2
CMIAY CMIBY OVIY ICIX IBF1 IBF2 IBF3 IBF4 ERI0 RXI0 TXI0 TEI0 ERI1 RXI1 TXI1 TEI1 ERI2 RXI2 TXI2 TEI2 IICI0 1 2 2 1 2 1 0 1 0 IDR1 IDR2 IDR3 IDR4 0
A B
8 Y X
72 73 74 75 76 77 78 79
ICRB1
ICRB0
SCI
0
80 81
ICRC7
0
82 83 SCI 1 84 85
ICRC6
1
86 87 SCI 2 88 89
ICRC5
2
90 91 IIC 0 92 93 IIC 1 94 95
ICRC4
DDCSWI IICI1 1
ICRC3
PS2IA PS2IB PS2IC
A B C PS2
96 97 98 99 100
ICRB0
103
H'00CE
H'00019C
138
5.
5.4
5.4.1
LS I AB R KCR B AR C PU
5.4.2
5.5
5.5
139
5.
5.4.3
ABRKCR BAR BAR CPU
CPU
CCR
I UI
1 2 ABRKCR BIE BIE
BAR
A23 A1
ABRKCR
CMF
5.4.4
1
2 3 BAR JMP JSR RTS RTE
A23 A16 Bcc BSR
4
5 .6
140
5.
1
H'0310 H'0312 H'0314 H'0316
H'0318
SP-2
SP-4
H'0036
NOP
NOP
NOP
H'0310 H'0312 H'0314 H'0316
NOP NOP NOP NOP
H'0312 NOP H'0316
H'0314
2
H'0310 H'0312 H'0314 H'0316
H'0318
SP-2
SP-4
H'0036
NOP
MOV.W
H'0310 H'0312 H'0316 H'0318
NOP MOV.W #xx:16,Rd NOP NOP
H'0312 H'0316 NOP H'0316
MOV
2 1
16
H'0310
H'0312
H'0314
SP-2
SP-4
H'0036
NOP
H'0310 H'0312 H'0314 H'0316
NOP NOP NOP NOP
H'0312 NOP H'0312
5.6
141
5.
5.5
5.5.1
LSI NMI IRQ 0 1
5.5 SYSCR INTM1 INTM0 C PU C CR I UI ICR
5.5
SYSCR INTM1 0 0 INTM0 0 ICR I I ICR 1 1 ICR I UI I UI 3
ICR
142
5.
5.7
I ICR
UI
3
0
1
5.7
1
0 1 3 5.6 C CR I UI IC R
5.6
I 0 0 1 1 0 1
UI 1 NMI 1 0 NMI 1 1 NMI
Don't care
143
5.
2
ICR
5.7
5.7
T 3 INTM1 INTM0 0 1 0 0 1 I IM IM IM UI ICR PR PR ( )
IM PR
144
5.
5.5.2
IRQ
0
CPU I 1 0 1 CCR I ICR
5.8
1
1
2 1
ICR
5 .4
3
I I
I 1
0 NMI
4
5
PC
CCR
PC
6
CCR
I
1
NMI
7
145
5.
No
Yes Yes
NMI No
1 Yes No IRQ0 Yes No IRQ1 Yes
No
No IRQ0 Yes IRQ1 PS2IC Yes Yes PS2IC Yes No
I
0 Yes
No
PC
CCR
I
1
5.8
0
146
5.
5.5.3
IR Q ICR
1
C PU 3 C CR I UI
1 1 2 I
0
I
0
1 UI
I
UI 1
0
1 H'20 H'00 H'00 1 0 IRQ2 IRQ3
IC R A IC R C
1
I0 NMI IRQ2 IRQ3 IRQ0 IRQ1
2 3
I1 I1
UI UI
0 1
NMI IRQ2 IRQ3 NMI
5.9
I0 NMI IRQ2 IRQ3 I1 UI 0
I I 1 UI 1
0
UI
0 UI 1
NMI
5.9
1
5.10
147
5.
1
1
2 1
ICR
5 .4
3
I
I
0
UI
0 I 1
I
0 NMI
1 I 1 I UI UI 1 0 NMI 0
0 I
4
5
PC
CCR
PC
6
C CR
I UI
1
NMI
7
148
5.
No Yes Yes
NMI No
1 Yes No No IRQ1 Yes PS2IC Yes
No
No IRQ0 Yes IRQ1 Yes PS2IC Yes No
IRQ0 Yes
I
0 Yes
No
I 0 No
0 Yes
No
UI Yes
PC CCR
I
1
UI
1
5.10
1
149
5.
150
5.5.4
5.11 0
(3) (5) (7) (9) (11) (13) (4) (6) (8) (10) (12) (14) PC CCR (6) (8) (9) (11) (10) (12) (13) (14) (13) (10) (12)
5 .1 1
(1)
(2)
(1)
PC
(2) (4) (3) (5) (7)
SP-2 SP-4
5.
5.5.5
LSI ROM RAM
5 .8
5 .8
5 .9
5.8
No. 1 2 * 3 PC 4 5 6 *
4 2
*1 1
3 19 2 SI
CCR
2 SK SI *3 2 SI 2 11 31
2 SK 2 SI
12 32
*1 *2 MULXS DIVXS *3 *4
2
5.9
8 2 3 2
16 3
SI SJ SK
1
4
6 2m
2
3m
m
151
5.
5.6
5.6.1
0
BCLR
MOV
0
0 8 TCR CMIEA 0 5.12
CPU
TCR
CMIA
TCR
CMIEA
CMFA
CMIA
5.12
0
152
5.
5.6.2
LDC ANDC ORC NMI I UI 2 XORC
5.6.3 EEPMOV
EEPMOV.B EEP MOV. B EEPMOV.W NMI
EEP MOV. W PC
EEP MOV. W
L1: EEPMOV.W MOV.W BNE R4,R4 L1
153
5.
5.7
5.7.1
DTC
DTC
1 2 3
CPU DTC 1 DTC DTC 2 7
5.7.2
5.13 DTC
DTC
IRQ
DTCER
DTC
DTVECR SWDTE
CPU
CPU I UI
5.13 DTC
154
5.
5.7.3
DTC 3
1
DTC CPU DTC MRB CPU DTC DTCE 0 CPU 0 DTC DISEL DTC DTCE 0 DTC ER A DTC ER E DTC E DTC
2
DTC 7 .3 .3 DTC
3
DTC CPU CPU DTC
5.10
DTC
DTCERA DTCERE
DTCE
DTC
MRB
DISEL
5.10
DTC DTCE 0 1 0 1 DISEL DTC CPU
CPU
Don't care
155
5.
4
S CI II C A/D DISEL DTC
156
6.
6
6.1 ....................................................................................................................................... 159 6.1.1 6.1.2 6.1.3 6.1.4 6.2 6.2.1 6.2.2 6.3 6.3.1 6.3.2 6.3.3 6.3.4 6.4 6.4.1 6.4.2 6.4.3 6.4.4 6.4.5 6.5 ROM 6.5.1 6.5.2 6.5.3 6.6 6.6.1 6.6.2 6.7 I/O ...................................................................................................... 159 ............................................................................................ 160 ................................................................................................ 161 ......................................................................................... 161 ................................................................................................................... 162 BCR ........................................................ 162 WSCR ................................. 163
....................................................................................................................... 166 ................................................................................................ 166 ............................................................................... 167 ...................................................................................... 167 .................................................................................... 168
......................................................................................................... 169 ...................................................................................................... 169 ........................................................ 169 ...................................................................................... 171 ...................................................................................... 172 ......................................................................................... 180 ................................................................................................ 182 ...................................................................................................... 182 ...................................................................................... 182 ......................................................................................... 183 ................................................................................................................... 184 ................................................................................................ 184 .............................................................. 185 ......................................................................................................... 186
6.
6.7.1 6.7.2 6.7.3
...................................................................................................... 186 ................................................................................................ 186 ............................................................................ 187
158
6.
6.1
LSI BSC CPU DTC
6.1.1
2
3
ROM ROM 1 2
CPU
DTC
159
6.
6.1.2
6.1
WAIT
6.1
160
6.
6.1.3
6.1
6.1
AS
IOSE I/O IOS I/O IOSE RD
0
1
HWR D15 D8
LWR D7 D0
WAIT
3
6.1.4
6.2
6.2
R/W BCR WSCR * 16 R/W R/W H'D7 H'33 H'FFC6 H'FFC7 *
161
6.
6.2
6.2.1 BCR
BCR AS BCR
8 I/O I/O H'D7
7 0
1
ICIS1
6
0
ICIS0
1
6 ICIS0 0
1
5
ROM R OM
BRSTRM
5 BRSTRM 0 1 ROM
162
6.
4 ROM
1
BRSTS1
4 BRSTS1 0 1 1 2
3 ROM
0
BRSTS0
3 BRSTS0 0 1 4 8
2 0
1 6.4
0
IOS
1
0
IOS1
IOS0
6.2.2
WSCR
WSCR
8
I/O WSCR WSCR H'33
163
6.
7
RAM A
RAMS
6
RAM
RAM0 0
5 8
ABW 16
5 ABW 0 1 16 8
4 2
AST 3
4 AST 0 2
1
3
3 AST
2 1
1
0
WMS1
WMS0
3 WMS1 0
2 WMS0 0 1
1
0 1
164
6.
1 AST
0 1
1
0
WC1 WC0
1 WC1 0 WC0 0 1 1 0 1
0
1 2 3
165
6.
6.3
6.3.1
1 3 I/O 2 3
1
ABW 8 16
2
AST 2 ROM AST 2 3
3
AST WC 0 0 3 3 WMS1 WMS0 WC1
6.3
166
6.
6.3
ABW AST WMS1 WMS0 WC1 WC0
0
0 1 0 * 1 * 0 0 1 1 0 1
16 16
2 3 3
0 0 0 1 2 3
1
0 1 0 * 1 * 0 0 1 1 0 1 * WMS1 0 WMS0 1
8 8
2 3 3
0 0 0 1 2 3
6.3.2
3 R OM R OM R AM SYSCR RAME RAM 1 RAME 0 R AM I/O
6.3.3
3 ROM R OM I/O SYSCR RAME RAM 1 RAM R OM R AM RAME 0 I/O R AM
167
6.
6.3.4 I/O
LSI IO Low 6.2 IOS IOS
IOS
6.2
IOS
IOS
SYSCR AS SYSCR 8 IOS IOS IOS
IOS0 0 1 1 0 1 * H8S/2148 F-ZTAT H'(FF)F7FF H'(FF)F000 H'(FF)F03F H'(FF)F000 H'(FF)F0FF H'(FF)F000 H'(FF)F3FF
IOSE IOS IOSE 1
I/O B CR 6.4 IO S1 IO S0
6.4
IOS1 0
IOS
H'(FF)F000 H'(FF)FE4F* A H8S/2147 F-ZTAT A H'(FF)F000
168
6.
6.4
6.4.1
ROM ABW AST 6.3 WMS1 SRAM WMS0 WC1 WC0
6.4.2
CPU
D15 D8 D0 8 16
D7
1
8
6.3 8 D15 D8 1 4 2 8
D15
D8 D7
D0
1 2 1 2 3 4
6.3
8
169
6.
2
16
6 .4 16 D15 D8 1 2 16 D7 1 D0
D15
D8 D7
D0
1 2
6.4
16
170
6.
6.4.3
6.5 RD HWR LWR
6.5
D15 8 RD HWR 16 RD
D8
D7
D0
HWR LWR RD HWR LWR
171
6.
6.4.4
1 8 2
6 .5 8 2 D15 D8 8
T1
T2
AS/IOS IOSE 1
AS/IOS IOSE 0
RD
D15 D8
D7 D0
HWR
D15 D8
6.5
8
2
172
6.
2
8
3
6 .6 8 3 D15 D8 8
T1
T2
T3
AS/IOS IOSE 1
AS/IOS IOSE 0
RD
D15 D8
D7 D0
HWR
D15 D8
6.6
8
3
173
6.
3
16
2
6.7 6.9 16 2 16
D15 D8
D7 D0
AS IOS
AS IOS
RD
HWR
LWR
6.7
16
2
1
174
6.
AS IOS
AS IOS
RD
HWR
LWR
6.8
16
2
2
175
6.
AS/IOS
AS/IOS
RD
HWR
LWR
6.9
16
2
3
176
6.
4
16
3
6.10 6.12 16 3 16
D15 D8
D7 D0
AS IOS
AS IOS
RD
HWR
LWR
6.10 16
3
1
177
6.
AS IOS
AS IOS
RD
HWR
LWR
6.11 16
3
2
178
6.
AS IOS
AS IOS
RD
HWR
LWR
6.12 16
3
3
179
6.
6.4.5
LSI Tw 1 2 WAIT WAIT 3
1
WC 1 TW T2 T3 WC 0
2
WC 1 TW Tw Tw High Tw 4 Tw Tw WAIT Low T2 T3 WAIT Low WAIT WC 0 T2
3
T2 WAIT TW T2 Low T3 TW WAIT WC 1 WC 0 WAIT Low
6.13
180
6.
WAIT T1 T2 Tw Tw Tw T3
WAIT
AS/IOS
IOSE=0
RD
HWR LWR
WAIT
6.13
3
3
WA IT
181
6.
6.5
6.5.1
ROM
LS I R OM R OM
B CR
B RS TR M 4 1 8 2
R OM
C PU
6.5.2
R OM AS T AS T 1 BCR 1 2 BRSTS1
BCR
BRSTS0 1
0 8
4
BRSTS0 ROM 6.14 a 6.14 b AST AST
6.14 a BRSTS1 BRSTS1 1 0
b
T1
T2
T3
T1
T2
T1
T2
AS IOS IOSE 0 RD
6.14 a
ROM
AST BRSTS1
1
182
6.
T1
T2
T1
T1
AS IOS IOSE 0
RD
6.14 b
ROM
AST BRSTS1
0
6.5.3
R OM 1 6.4.5 2 WAIT
183
6.
6.6
6.6.1
LSI TI 1
R OM
I/O
BCR
ICIS0
1
6.15 B
A C PU B R OM b
ROM a
CPU
A T1 T2 T3 T1 T2
B T1 T2
A T3 TI T1
B T2
RD HWR LWR
a
, ,
RD HWR LWR
b
6.15
184
6.
6.6.2
6.6
6.6
A23 A0 IOS D15 AS RD HWR LWR D0 High High High
185
6.
6.7
6.7.1
LSI
C PU
DTC
2
6.7.2
DTC
CPU
186
6.
6.7.3
1
CPU
C PU DTC a DTC
A.5
b
CPU
2
DTC
DTC DTC
187
6.
188
7. DTC
H8S/2148 H8S/2144
H8S/2147N
7
7.1 ....................................................................................................................................... 191 7.1.1 7.1.2 7.1.3 7.2 7.2.1 7.2.2 7.2.3 7.2.4 7.2.5 7.2.6 7.2.7 7.2.8 7.2.9 7.3 ...................................................................................................... 191 ............................................................................................ 191 ......................................................................................... 193 ................................................................................................................... 194 DTC DTC DTC DTC DTC DTC DTC DTC A CRA B CRB A MRA B MRB ............................................................. 194 ............................................................. 196 SAR .................................................... 197 DAR ............................... 197
.................................................... 197 .................................................... 198 ...................................................... 198
DTCER DTVECR
.......................................................... 199 MSTPCR .......................... 200
................................................................................................................................. 201 7.3.1 7.3.2 7.3.3 7.3.4 7.3.5 7.3.6 7.3.7 7.3.8 DTC ...................................................................................................... 201 ................................................................................................ 202 .............................................................................. 204 ................................................. 206 ...................................................................................... 207 ...................................................................................... 208 ............................................................................... 209 ......................................................................................... 210
7.
DTC
7.3.9 7.3.10 7.3.11 7.3.12 7.4 7.5 DTC DTC DTC
...................................................................................... 211 .............................................................................. 212 ........................................................................................ 213 ............................................................................................ 214
.................................................................................................................................... 216 .......................................................................................................................... 216
190
7.
DTC
7.1
LSI DTC DTC
7.1.1
1
16M 24
DTC 1
CPU CPU CPU
DTC DTC
7.1.2
DTC DTC 32 1 * DTC SYSCR RAME 1 7.1 R AM DTC * DTC R AM 1k 32
191
7.
DTC
7.1
DTC
192
7.
DTC
7.1.3
DTC 7.1
7.1
R/W DTC DTC DTC DTC DTC DTC DTC A B A B MRA MRB SAR DAR CRA CRB DTCER* 4 *2 *2 *2 *2 *2 *2 R/W H'00 *3 *3 *3 *3 *3 *3 H'FEEE H'FEF2 DTC DTVECR*
4
*1
R/W R/W R/W
H'00 H'3F H'FF
H'FEF3 H'FF86 H'FF87
MSTPCRH MSTPCRL *1 *2 DTC *3 RAM H'EC00 H'EFFF 16
DTC * 4 H8 S/2144 H8 S/2147N
SYSCR H8 S/2147N DT CER
RAME DT C
0 H8 S/2144 CP U
DT VECR
193
7.
DTC
7.2
7.2.1 DTC A MRA
MRA
8
DTC
7
6 SAR
1
0
SM1
SM0
7 SM1 0 1 0 SM0
6
SAR SAR Sz 1 SAR Sz 0 1 Sz 1 2 0 1 Sz 1 2
5
4 DAR
1
0
DM1 DM0
5 DM1 0 1 0 DM0
4
DAR DAR Sz 1 DAR Sz 0 1 Sz 1 2 0 1 Sz 1 2
194
7.
DTC
3 DTC
2
DTC
MD1 MD0
3 MD1 0 MD0 0 1 1 0 1
2
1
DTC
DTS
1 DTS 0 1
0
DTC
Sz
0 Sz 0 1
195
7.
DTC
7.2.2 DTC
B MRB
MRB
8
DTC
7
DTC
CHNE 1 CHNE 1 DTCER
7 CHNE 0 1 DTC DTC
6 1
DTC CPU
DISEL
6 DISEL 0 DTC DTC 1 DTC 0 CPU 0 0 DTC CPU
5 LSI
0 DTC 0
196
7.
DTC
7.2.3 DTC
SAR
SAR
24
DTC
7.2.4 DTC
DAR
DAR
24
DTC
7.2.5 DTC
A CRA
CRA
16
DTC 16 1 1 65536 H'0000
1
8 CRAL 1 1 256 H'00 CRAH CRAL 1 CRAH CRAL 8
CRAH
8
197
7.
DTC
7.2.6 DTC
B CRB
CRB
16 16 1 1
DTC 65536 H'0000 1
7.2.7 DTC
DTCER
DTC ER
DTC 5 DTC ER A DTC ER E
8
DTC DTC ER H'00
n
DTC
DTCEn
n DTCEn 0 DTC
DISEL
1
1
DTC
DISEL
0
n
7
0
198
7.
DTC
DTCE DTCE 7.4 DTC E
DTC
B SET B CLR
7.2.8 DTC
DTVECR
*
DTVECR
8
DTC
DTV ECR
H'00
7 DTC
DTC
SWDTE
SWDTE 0
0
SWDTE 1
7 SWDTE 0 DTC
DISEL 1 DTC
0
DISEL
1
199
7.
DTC
6 DTC
0
DTC
6
0
DTVEC6 DTVEC0
H'0400
< <1 DTVEC6 DTVEC0 H'10
< <1
1
H'0420
7.2.9
MSTPCR
MSTPCR
8
2
MSTP14
1 DTC
DTC MSTP14
1
25.5
MST PC R
H'3F FF
MSTPCRH DTC
6
MSTP14
MSTPCRH 6 MSTP14 0 1 DTC DTC
200
7.
DTC
7.3
7.3.1
DTC
CHNE 1 7.2 DTC
1
7.2
DTC
201
7.
DTC
DTC
DTC S AR DAR
24
S AR
24
DAR
7.2
DTC
7.2
DTC
1 1 1 1
IRQ FRT 8 1 1 65536 2 IBF SCI 1 1 1 A/D IIC 1 1 256 2 IICI TXI RXI ADI ICI OCI CMI
24
24
2
3 1
1 256
1 65536
7.3.2
DTC DTV ECR CPU DTC 1 DTC DTCER 0 CPU
1
202
7.
DTC
DTCER
7.3
DTCER
RXI0
SCI0
RDRF
7.3
DISEL
DTCER
0 DISEL 1
SWDTE
0
SWDTE CPU
1
DTCER 0
1
DTCER 1
0
CPU
7.3
5
7.3
DTC
DTC
C PU
DTC
203
7.
DTC
7.3.3 DTC
7.4 7 .4 DTC DTC ER H'0400+ < <1 1 H'0420 DTV ECR [6:0]< < 1 DTV ECR H'10
R AM 4
2 2 R AM
DTC
7.4
DTC
204
7.
DTC
7.4
DTC
DTCE
DTCE*
DTVECR
DTVECR 10
H'0400 DTVECR [6:0] 1 DTCEA7 DTCEA6 DTCEA5 DTCEA4 DTCEA3 DTCEA2 DTCEA1 DTCEA0 DTCEB7 DTCEB2 DTCEB1 DTCEB0 DTCEC7 DTCEC6 DTCEC5 DTCEC4 DTCEC3 DTCEC2 DTCEC1 DTCEC0 DTCED7 DTCED6 DTCED5 DTCED4
IRQ0 IRQ1 IRQ2 IRQ3 ADI ICIA ICIB A/D FRT FRT A B A B A B A B A B HIF TMRY TMR1 TMR0 A/D FRT
16 17 18 19 28 48 49 52 54 64 65 68 69 72 73 76 77 0 0 1 1 2 2 IIC0 1 IIC0 SCI 2 SCI 1 SCI 0 81 82 85 86 89 90 92
H'0420 H'0422 H'0424 H'0426 H'0438 H'0460 H'0462 H'0468 H'046A H'0480 H'0482 H'0488 H'048A H'0490 H'0492 H'0498 H'049A H'04A2 H'04A4 H'04AA H'04AC H'04B2 H'04B4 H'04B8
OCIA FRT OCIB FRT CMIA0 TMR0 CMIB0 TMR0 CMIA1 TMR1 CMIB1 TMR1 CMIAY TMRY CMIBY TMRY IBF1 IBF2 RXI0 TXI0 RXI1 TXI1 RXI2 TXI2 IICI0 IDR1 IDR2
IICI1
IIC1 1
IIC1
94
H'04BC
DTCED3
*
DTCE
0
205
7.
DTC
7.3.4
7.5 MRA SAR MRB DAR C RA C RB
R AM
H'F FEC 00
H'F FEF FF
7.5
DTC
206
7.
DTC
7.3.5
1 1 1 65536 1 C PU
7 .5
7 .6
7.5
DTC DTC DTC DTC A B
SAR DAR CRA CRB
7.6
207
7.
DTC
7.3.6
1 1 1 256 1
H'00
DISEL 0
CPU
7 .6
7 .7
7.6
DTC DTC DTC DTC DTC AH AL B
SAR DAR CRAH CRAL CRB
7.7
208
7.
DTC
7.3.7
1 1
1
256
1
1
65536
C PU
7 .7
7 .8
7.7
DTC DTC DTC DTC DTC AH AL B
SAR DAR CRAH CRAL CRB
7.8
209
7.
DTC
7.3.8
C HNE 1 1 S AR DAR MRA 7.9 MRB C RA C RB
7.9
C HNE 1 DI SEL 1 C PU
C PU C HNE 1
210
7.
DTC
7.3.9
7.10 7.12 DTC
7.10 DTC
7.11 DTC
2
7.12 DTC
211
7.
DTC
7.3.10
DTC
7 .8 DTC 1 7 .9
7.8
DTC
I 1 1 1
J 6 6 6
K 1 1 N
L 1 1 N
M 3 3 3
N
CRAH CRAL
7.9
I/O RAM
32 1 SI SJ 1
ROM
16 1 1 8 2 16 2 2 4 8 3 6 2m 2 2 16 3 3m
SK SK SL SL SM
1 1 1 1
1 1 1 1
2 4 2 4
2 2 2 2 1
2 4 2 4
3m 6 2m 3m 6 2m
2 2 2 2
3m 3m 3m 3m
1 CHNE 1 1
I SI
J
SJ K SK L SL
M
SM
DTC I/O
R OM DTC 10 13
R OM
212
7.
DTC
7.3.11
1
DTC
DTC 1 2 3 4 DTC 5 1 0 DTCE CPU 1 DTC DTC E DTCER 1 1 MRA MRB SAR DAR CRA CRB DTC RAM
2
DTC 1 2 3 4 5 6 SWDTE 0 SWDTE DTVECR 1 SWDTE SWDTE 1 SWDTE 0 DISEL 1 DI SEL 0 DTC 1 CPU C PU 1 DTVECR MRA MRB SAR DAR CRA CRB DTC RAM
213
7.
DTC
7.3.12
1
DTC
DTC 1 MRA DM1 Sz 0 1 DAR CRB 2 3 4 DTCER SCI R XI 1
SCI
128 SM1 SM0 0
DM0 DTS
0
MD1
MD0
0 MRB 1 R DR
C HNE 0
DI SEL 0 R AM
S AR C RA
S CI 128
H'0080
DTC 1 SCR S CI CPU RIE 1
5
S CI
1 R XI RAM 0 DTC DAR
S SR DTC CRA
R DR F
1 R DR RDRF
6
128 DTC E 0
C RA
0 R XI
R DR F
1
C PU
2
DTC H'1000 H'60 H'04C0 1 128 H'2000
1
MR A
S M1 1 DM1 1 DM0 0
S M0
0 MD1 1 MD0
0 MR B 1
Sz
0 1
DTS C HNE 0 H'2000 C RA 128 S AR H'8080
H'1000 CRB 2 1
DAR
H'0001 DTC H'04C0
214
7.
DTC
3
DTVECR
SWDTE 0
DTC
4
S WDTE 1 H'E0
H'60
DTV ECR
5
DTVECR
H'60 3 4
3 6 7 S WDTEN D DTC 128 1 S WDTE 0
215
7.
DTC
7.4
DTC CPU C PU C PU DI SEL 1
SWDTEND DI SEL 1 1 S WDTE SWDTEND SWDTE 1 0
DTC
SWDTE SWDTEND
1
7.5
1
MST PC R MST P14 1 DTC DTC MSTP14 DTC 1
DTC MSTP14 1
DTCER
2
RAM
MRA MRB SAR DAR CRA CRB SYSCR RAME 0 RAM DTC
3
DTCE
DTC E B SET B CLR
216
8. I/O
8
8.1 8.2 ....................................................................................................................................... 219 1.................................................................................................................................. 227 8.2.1 8.2.2 8.2.3 8.2.4 8.3 ...................................................................................................... 227 ......................................................................................... 228 .................................................................................. 230 MOS.............................................................................. 231
2.................................................................................................................................. 232 8.3.1 8.3.2 8.3.3 8.3.4 ...................................................................................................... 232 ......................................................................................... 233 .................................................................................. 235 MOS.............................................................................. 237
8.4
3.................................................................................................................................. 238 8.4.1 8.4.2 8.4.3 8.4.4 ...................................................................................................... 238 ......................................................................................... 239 .................................................................................. 241 MOS.............................................................................. 242
8.5
4.................................................................................................................................. 243 8.5.1 8.5.2 8.5.3 ...................................................................................................... 243 ......................................................................................... 243 ................................................................................................ 244
8.6
5.................................................................................................................................. 248 8.6.1 8.6.2 8.6.3 ...................................................................................................... 248 ......................................................................................... 248 ................................................................................................ 250
8.7
6.................................................................................................................................. 251 8.7.1 8.7.2 ...................................................................................................... 251 ......................................................................................... 252
8.
I/O
8.7.3 8.7.4 8.8
................................................................................................ 254 MOS.............................................................................. 257
7.................................................................................................................................. 258 8.8.1 8.8.2 8.8.3 ...................................................................................................... 258 ......................................................................................... 258 ................................................................................................ 259
8.9
8.................................................................................................................................. 260 8.9.1 8.9.2 8.9.3 ...................................................................................................... 260 ......................................................................................... 260 ................................................................................................ 261
8.10
9.................................................................................................................................. 264 8.10.1 8.10.2 8.10.3 ...................................................................................................... 264 ......................................................................................... 265 ................................................................................................ 266
8.11
A ................................................................................................................................. 269 8.11.1 8.11.2 8.11.3 8.11.4 ...................................................................................................... 269 ......................................................................................... 269 ................................................................................................ 271 MOS.............................................................................. 274
8.12
B ................................................................................................................................. 275 8.12.1 8.12.2 8.12.3 8.12.4 ...................................................................................................... 275 ......................................................................................... 276 ................................................................................................ 278 MOS.............................................................................. 280
218
8.
I/O
8.1
LS I 7 8.1 8.2 8.3 10 1 6 8 9 AB 1
DDR DR DDR 1 DDR DR 3 ODR MOS 6 AB MOS PCR MOS 1 36 AB DDR MOS ODR
1
6
8
9
AB
1
TTL
30pF
1 A H8S /2147N
2
3 P A7 5
LED
10mA H8S /2148
P A4
P 52
9
P 97
NMOS
H8S /2144
H8S /2147N 8 .1 8.2 H8S/2147N H8 S/2 14 8
8.3 H8S/2144
219
8.
I/O
8.1
H8S/2148
1 8 P17 P10/ A7 A0/ PW7 PW0 2 (EXPE 1) DDR 0 ( DDR 1 A0) 8 P27/A15/PW15/ CBLANK P26/A14/PW14 P25/A13/PW13 P24/A12/PW12 P23/A11/PW11 P22/A10/PW10 P21/A9/PW9 P20/A8/PW8 DDR 0 ( (A7 PWM (PW7 PW0) ) PWM (PW15 PW8) (CBLANK) (CBLANK) DDR 1 (A15 A8) PWM (PW15 PW8) (CBLANK) (P27 P24) 8 ) 3 PWM (PW7 2 (EXPE 0) PW0) 3
1 MOS LED
(A7
A0)
2 MOS LED
(A15
A8)
3 MOS LED 8 4
P37 P30/ HDB7 HDB0/ D15 D8
D15
D8
HIF (HDB7
HDB0)
P47/PWX1 P46/PWX0 P45/TMRI1/HIRQ12/ CSYNCI P44/TMO1/HIRQ1/ HSYNCO P43/TMCI1/HIRQ11/ HSYNCI P42/TMRI0/SCK2/ SDA1 P41/TMO0/RxD2/ IrRxD P40/TMCI0/TxD2/ IrTxD
14 PWM PWX0) 8 01 TMRI0 TMO0 TMCI1
(PWX1 (TMCI0 TMO1)
TMRI1
(HSYNCO CSYNCI HSYNCI) SCI2 (TxD2 RxD2 SCK2) IrDA (IrTxD IrRxD) 1 I2C (SDA1)
PWM (PWX1 PWX0) 8 01 (TMCI0 TMRI0 TMO0 TMCI1 TMRI1 TMO1) (HSYNCO CSYNCI HSYNCI) HIF CPU (HIRQ12 HIRQ1 HIRQ11) SCI2 (TxD2 RxD2 SCK2) IrDA (IrTxD IrRxD) I2C 1 (SDA1)
14
3 5
P52/SCK0/SCL0 P51/RxD0 P50/TxD0
SCI0 I2C
(TxD0 RxD0 0
SCK0) (SCL0)
220
8.
I/O
1 8 6 P67/IRQ7/TMOX/ KIN7/CIN7 P66/IRQ6/FTOB/ KIN6/CIN6 P65/FTID/KIN5/ CIN5 P64/FTIC/KIN4/ CIN4/CLAMPO P63/FTIB/KIN3/ CIN3/VFBACKI P62/FTIA/TMIY/ KIN2/CIN2/ VSYNCI P61/FTOA/KIN1/ CIN1/VSYNCO P60/FTCI/TMIX/ KIN0/CIN0/ HFBACKI P77/AN7/DA1 P76/AN6/DA0 P75/AN5 P74/AN4 P73/AN3 P72/AN2 P71/AN1 P70/AN0 P86/IRQ5/SCK1/ SCL1 P85/IRQ4/RxD1 P84/IRQ3/TxD1 P83 P82/HIFSD P81/CS2/GA20 P80/HA0 VSYNCO A/D FRT 8
2 (EXPE 1)
3
2 (EXPE 0)
3
(IRQ7 IRQ6) (FTCI FTOA FTIA FTIB FTIC FTID FTOB) XY (TMOX TMIX TMIY) (CLAMPO VFBACKI VSYNCI HFBACKI) (KIN7 (CIN7 CIN0) KIN0)
8 7
A/D D/A
(AN7 (DA1
AN0) DA0)
7 8
SCI1 I2C (SCL1)
(IRQ5 IRQ4 IRQ3) (TxD1 RxD1 SCK1) 1
(IRQ5 IRQ4 IRQ3) SCI1 (TxD1 RxD1 SCK1) HIF GA20 I2C (SCL1) HA0 (CS2 HIFSD) 1
8 9
P97/WAIT/SDA0 I2C (SDA0) P96/ /EXCL DDR 0 EXCL DDR 1 ( P95/AS/ IOS /CS1 P94/HWR /IOW P93/RD /IOR P92/IRQ0 P91/IRQ1 P90/LWR/IRQ2/ ADTRG/ECS2 A/D (IRQ2) (AS/ IOS HWR RD) DDR 0 ( DDR 1 ) 0
(WAIT)
I2C (SDA0)
0
) EXCL
HIF (CS1 IOW IOR)
(IRQ0 IRQ1) (LWR) (IRQ2) A/D (ADTRG) (ADTRG) HIF (ECS2)
221
8.
I/O
1 8 A PA7/A23/KIN15/ CIN15/ PS2CD PA6/A22/KIN14/ CIN14/ PS2CC PA5/A21/KIN13/ CIN13/ PS2BD PA4/A20/KIN12/ CIN12/ PS2BC PA3/A19/KIN11/ CIN11/ PS2AD PA2/A18/KIN10/ CIN10/ PS2AC PA1/A17/KIN9/CIN9 8 B PA0/A16/KIN8/CIN8 PB7/D7 PB6/D6 PB5/D5 PB4/D4 PB3/D3/CS4 PB2/D2/CS3 PB1/D1/HIRQ4 PB0/D0/HIRQ3 8 16 KIN8) CIN8)
2 (EXPE 1) A16) (KIN15 A/D CIN8)
3
2 (EXPE 0) (KIN15 A/D CIN8)
3
(A23 (KIN15 A/D (CIN15 KIN8) (CIN15
KIN8) (CIN15
(PS2CD,PS2CC,PS2BD, PS2BC,PS2AD,PS2AC) (PS2CD,PS2CC, (PS2CD,PS2CC,PS2BD, PS2BD,PS2BC,P PS2BC,PS2AD,PS2AC) S2AD,PS2AC)
(ABW=1) (ABW=0) (D7 D0)
HIF (CS3 CS4 HIRQ4) HIRQ3
222
8.
I/O
8.2
H8S/2147N
1 8 P17 P10/ A7 A0/ PW7 PW0 2 (EXPE 1) DDR 0 ( DDR 1 A0) 8 P27/A15/PW15 P26/A14/PW14 P25/A13/PW13 P24/A12/PW12 P23/A11/PW11 P22/A10/PW10 P21/A9/PW9 P20/A8/PW8 P37 P30/ HDB7 HDB0/ D15 D8 DDR 0 ( DDR 1 (A15 A8) PWM (PW15 PW8) (P27 P24) D15 D8 HIF (HDB7 HDB0) (A7 PWM (PW7 PW0) ) PWM (PW15 PW8) ) 3 PWM (PW7 2 (EXPE 0) PW0) 3
1 MOS LED
(A7
A0)
2 MOS LED
(A15
A8)
8 3 MOS LED 8 4
P47/PWX1 P46/PWX0 P45/TMRI1/HIRQ12 P44/TMO1/HIRQ1 P43/TMCI1/HIRQ11 P42/TMRI0/SCK2/ SDA1 P41/TMO0/RxD2/ IrRxD P40/TMCI0/TxD2/ IrTxD
14 PWM (PWX1 PWX0) 8 01 (TMCI0 TMRI0 TMO0 TMCI1 TMRI1 TMO1) SCI2 (TxD2 RxD2 SCK2) IrDA (IrTxD IrRxD) 1 I2C (SDA1)
14
PWM (PWX1 PWX0) 8 01 (TMCI0 TMRI0 TMO0 TMCI1 TMRI1 TMO1) HIF CPU (HIRQ12 HIRQ1 HIRQ11) SCI2 (TxD2 RxD2 SCK2) IrDA (IrTxD IrRxD) I2C 1 (SDA1)
3 5 8 6
P52/SCK0/SCL0 P51/RxD0 P50/TxD0 P67/IRQ7/KIN7/CIN7 P66/IRQ6/FTOB/ KIN6/CIN6 P65/FTID/KIN5/ CIN5 P64/FTIC/KIN4/CIN4 P63/FTIB/KIN3/CIN3 P62/FTIA/TMIY/ KIN2/CIN2 P61/FTOA/KIN1/CIN1 P60/FTCI/KIN0/CIN0 P77/AN7/DA1 P76/AN6/DA0 P75/AN5 P74/AN4 P73/AN3 P72/AN2 P71/AN1 P70/AN0
SCI0 I2C
(TxD0 RxD0 0
SCK0) (SCL0)
FRT 8 A/D
(IRQ7 IRQ6) (FTCI FTOA FTIA FTIB FTIC Y (TMIY) (KIN7 (CIN7 CIN0) KIN0)
FTID
FTOB)
8 7
A/D D/A
(AN7 (DA1
AN0) DA0)
223
8.
I/O
1 7 8 P86/IRQ5/SCK1/ SCL1 P85/IRQ4/RxD1 P84/IRQ3/TxD1 P83 P82/HIFSD P81/CS2/GA20 P80/HA0
2 (EXPE 1)
3
2 (EXPE 0)
3
SCI1 I2C (SCL1)
(IRQ5 IRQ4 IRQ3) (TxD1 RxD1 SCK1) 1
(IRQ5 IRQ4 IRQ3) SCI1 (TxD1 RxD1 SCK1) HIF GA20 I2C (SCL1) HA0 (CS2 HIFSD) 1
8 9
P97/WAIT/SDA0 I2C (SDA0) P96/ /EXCL DDR 0 EXCL DDR 1 ( P95/AS/ IOS /CS1 P94/HWR /IOW P93/RD /IOR P92/IRQ0 P91/IRQ1 P90/LWR/IRQ2/ ADTRG/ECS2 A/D (IRQ2) (AS/ IOS HWR RD) DDR 0 ( DDR 1 ) 0
(WAIT)
I2C (SDA0)
0
) EXCL
HIF (CS1 IOW IOR)
(IRQ0 IRQ1) (LWR) (IRQ2) A/D (ADTRG) (ADTRG) HIF (ECS2)
8 A
PA7/A23/KIN15/ CIN15/ PS2CD PA6/A22/KIN14/ CIN14/ PS2CC PA5/A21/KIN13/ CIN13/ PS2BD PA4/A20/KIN12/ CIN12/ PS2BC PA3/A19/KIN11/ CIN11/ PS2AD PA2/A18/KIN10/ CIN10/ PS2AC PA1/A17/KIN9/CIN9 PA0/A16/KIN8/CIN8 PB7/D7 PB6/D6 PB5/D5 PB4/D4 PB3/D3/CS4 PB2/D2/CS3 PB1/D1/HIRQ4 PB0/D0/HIRQ3 KIN8) CIN8)
(A23 (KIN15 A/D (CIN15 A16) (KIN15 A/D CIN8) KIN8) (CIN15 (KIN15 A/D CIN8) KIN8) (CIN15
(PS2CD,PS2CC,PS2BD, PS2BC,PS2AD,PS2AC) (PS2CD,PS2CC, (PS2CD,PS2CC,PS2BD, PS2BD,PS2BC,P PS2BC,PS2AD,PS2AC) S2AD,PS2AC)
8 B
8 16
(ABW=1) (ABW=0) (D7 D0)
HIF (CS3 CS4 HIRQ4) HIRQ3
224
8.
I/O
8.3
H8S/2144
1 8 P17 P10/ A7 A0 2 (EXPE 1) DDR 0 ( DDR 1 (A7 A0) 8 P27 P20/ A15 A8 DDR 0 ( DDR 1 (A15 A8) (P27 8 P24) D15 D8 ) 3 2 (EXPE 0) 3
1 MOS LED
(A7
A0)
2 MOS LED
(A15
A8)
)
3 MOS LED 8 4
P37 P30/ D15 D8
3 5 8 6
P47/PWX1 P46/PWX0 P45/TMRI1 P44/TMO1 P43/TMCI1 P42/TMRI0/SCK2 P41/TMO0/RxD2/ IrRxD P40/TMCI0/TxD2/ IrTxD P52/SCK0 P51/RxD0 P50/TxD0 P67/IRQ7/KIN7/CIN7 P66/IRQ6/FTOB/ KIN6/CIN6 P65/FTID/KIN5/CIN5 P64/FTIC/KIN4/CIN4 P63/FTIB/KIN3/CIN3 P62/FTIA/TMIY/ KIN2/CIN2 P61/FTOA/KIN1/ CIN1
14 8 TMRI1 SCI2 IrDA
PWM (PWX1 PWX0) 01 (TMCI0 TMRI0 TMO0 TMCI1 TMO1) (TxD2 RxD2 SCK2) (IrTxD IrRxD)
SCI0
(TxD0 RxD0
SCK0)
FRT 8 A/D
(IRQ7 IRQ6) (FTCI FTOA FTIA FTIB FTIC Y (TMIY) (CIN7 (KIN7 CIN0) KIN0)
FTID
FTOB)
8 7
P60/FTCI/KIN0/CIN0 P77/AN7/DA1 P76/AN6/DA0 P75/AN5 P74/AN4 P73/AN3 P72/AN2 P71/AN1 P70/AN0 P86/IRQ5/SCK1 P85/IRQ4/RxD1 P84/IRQ3/TxD1 P83 P82 P81 P80
A/D D/A
(AN7 (DA1
AN0) DA0)
7 8
(TxD1 RxD1
(IRQ5 IRQ4 IRQ3) SCI1 SCK1)
225
8.
I/O
1 8 9 P96/ /EXCL DDR 0 EXCL DDR 1 ( P95/AS/ IOS P94/HWR P93/RD P92/IRQ0 P91/IRQ1 P90/LWR/IRQ2/ ADTRG A/D 8 A PA7 PA0/ A23 A16/ KIN15 CIN15 KIN8/ CIN8 KIN8) (AS/ IOS HWR RD) P97/WAIT
2 (EXPE 1) (WAIT) DDR 0 ( DDR 1
3
2 (EXPE 0)
3
) EXCL
)
(IRQ0 IRQ1) (LWR) (IRQ2) (ADTRG) (A23 (KIN15 A16) (KIN15 A/D (CIN15 KIN8) CIN8) A/D (CIN15 CIN8) 8 16 (ABW=1) (ABW=0) (D7 D0) (KIN15 A/D CIN8) KIN8) (CIN15 (IRQ2) A/D (ADTRG)
8 B
PB7 PB0/D7 D0
226
8.
I/O
8.2
8.2.1
1
1 P WM 8 P W7 P W0
H8 S/ 21 48
1
H8 S/ 21 47 N
8
1 1 8.1
MOS
1 P17/ A7 / PW7 P16/ A6 / PW6 P15/ A5 / PW5 P14/ A4 / PW4 1 P13/ A3 / PW3 P12/ A2 / PW2 P11/ A1 / PW1 P10/ A0 / PW0 A7 A6 A5 A4 A3 A2 A1 A0
1
2 P17 P16 P15 P14 P13 P12 P11 P10
3
EXPE=0 PW7 PW6 PW5 PW4 PW3 PW2 PW1 PW0 A7 A6 A5 A4 A3 A2 A1 A0
2
3
EXPE=1 P17 P16 P15 P14 P13 P12 P11 P10 PW7 PW6 PW5 PW4 PW3 PW2 PW1 PW0
8.1
1
227
8.
I/O
8.2.2
8.4 1
8.4
1
R/W 1 P1DDR W H'00 *1 H'FFB0
1 1 MOS
P1DR P1PCR
R/W R/W
H'00 H'00
H'FFB2 H'FFAC
*1
16
1
1
7 0 R/W W 6 0 W 5 0 W
P1DDR
4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
P17DDR P16DDR P15DDR P14DDR P13DDR P12DDR P11DDR P10DDR
P1DDR
8
1
P 1DDR P 1DDR
H'00
a P1DDR
1 1
228
8.
I/O
b P1DDR 0
2 1
3
EXPE
1 1 PWM
c P1DDR 0
2 1
3
EXPE
0 1 PWM
2
1
P1DR
P1DR
8 P1DDR 1 1
1
P17 P1DR
P10
P1DDR
0
1
P1DR
H'00
3
1
MOS
P1PCR
P1PCR
8 MOS 2 3 P1DDR 0
1
P1PCR
1
MOS P1PCR H'00
229
8.
I/O
8.2.3
1 1
1 1 1 8.2
A7 A6 A5 A4 1 A3 A2 A1 A0
8.2
1
1
2
2
3
EXPE 1
2 3 EXP E 1 1 P WM P 1DDR P WM 0 1
1
8.3
P1DDR 1 PWOERA 0 A7 A6 A5 A4 1 A3 A2 A1 A0
P1DDR 0 P17 P16 P15 P14 P13 P12 P11 P10
P1DDR 1 PWOERA 1 PW7 PW6 PW5 PW4 PW3 PW2 PW1 PW0
8.3
1
2
3
EXPE
1
230
8.
I/O
3
2
3
EXPE 0
2 3 EXPE 0 1 PWM P 1DDR P WM 0 1
1
8.4
P1n P1DDR 0 P1DDR 1 PWOERA 0 P17 P16 P15 P14 1 P13 P12 P11 P10
P1DDR 1 PWOERA 1 PW7 PW6 PW5 PW4 PW3 PW2 PW1 PW0
8.4
1
2
3
EXPE
0
8.2.4
1
MOS
MOS MOS 23
2
3 MOS
P1DDR
0
P1PCR
1
MOS
MOS
8.5
8.5
MOS
1
1 23
OFF
OFF ON/OFF
OFF ON/OFF P1DDR 0
MOS P1PCR 1
231
8.
I/O
8.3
8.3.1
2
2 PWM 8 PW15 CBLANK PW8
H8S/2148
2
H8S/2147N
8
H8S/2148
2 2 8.5
MOS
2 P27 / A15/PW15/CBLANK A15 P26 / A14/PW14 P25 / A13/PW13 P24 / A12/PW12 2 P23 / A11/PW11 P22 / A10/PW10 P21 / A9/PW9 P20 / A8/PW8 A14 A13 A12 A11 A10 A9 A8
1
2 P27 P26 P25 P24 P23 P22 P21 P20
3 EXPE=0 PW15 PW14 PW13 PW12 PW11 PW10 PW9 PW8 CBLANK A15 A14 A13 A12 A11 A10 A9 A8
2
3
EXPE=1 P27 P26 P25 P24 P23 P22 P21 P20 PW15 PW14 PW13 PW12 PW11 PW10 PW9 PW8 CBLANK
8.5
2
232
8.
I/O
8.3.2
8.6 2
8.6
2
R/W 2 P2DDR W H'00 *1 H'FFB1
2 2 MOS
P2DR P2PCR
R/W R/W
H'00 H'00
H'FFB3 H'FFAD
*1
16
1
2
7 0 R/W W 6 0 W 5 0 W
P2DDR
4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
P27DDR P26DDR P25DDR P24DDR P23DDR P22DDR P21DDR P20DDR
P2DDR
8
2
P 2DDR P 2DDR
H'00
a P2DDR
1 1
233
8.
I/O
b P2DDR 0 1
2 1
3
EXPE
1 2 P 27 P 24 PWM IO SE
P27 2
P27DDR
c P2DDR
2 1
3
EXPE
0 2 PWM
0 P27 P27DDR
2
2
P2DR
P2DR
8 P2DDR 1 2
2
P27 P2DR
P20
P 2DDR 2 P2DR H'00
0
3
2
MOS
P2PCR
P2PCR
8 MOS 2 3 P2DDR 0
2
P2PCR
1
MOS P2PCR H'00
234
8.
I/O
8.3.3
1 1
1 2 2 8.6
A15 A14 A13 A12 2 A11 A10 A9 A8
8.6
2
1
2
2
3
EXPE 1
2 3 EXP E 1 2 P WM P 2DDR P WM P 27 P 24 IO SE P 27 2 1 P 27DDR 0 1
2
8.7
P2DDR 1 PWOERB 0 A15 A14 A13 A12 2 A11 A10 A9 A8 P27 P26 P25 P24
P2DDR P27 P26 P25 P24 P23 P22 P21 P20
0 CBLANK
P2DDR 1 PWOERB 1 PW1 5 PW1 4 PW1 3 PW1 2 PW1 1 PW1 0 PW9 PW8 CBLANK
8.7
2
2
3
EXPE
1
235
8.
I/O
3
2
3
EXPE 0
2 C BLA NK P2DDR 0 1 P27 PWM P27DDR 3 EXP E 0 2 P WM
2
8.8
P2n P2DDR 0 P2DDR 1 PWOERB 0 P27 P26 P25 P24 2 P23 P22 P21 P20 CBLANK
P2DDR 1 PWOERB 1 PW1 5 PW1 4 PW1 3 PW1 2 PW1 1 PW1 0 PW9 PW8 CBLANK
8.8
2
2
3
EXPE
0
236
8.
I/O
8.3.4
2
MOS
MOS MOS 23
2
3 MOS MOS
P2DDR
0
P2PCR
1
MOS
8.7
8.7
MOS
2
1 23
OFF
OFF ON/OFF
OFF ON/OFF P2DDR 0
MOS P2PCR 1
237
8.
I/O
8.4
8.4.1
3
3 HDB0 8
H8S/2148 H8S/2147N
3
HDB7
3 3 8.9
MOS
3 P37/D15/HDB7 P36/D14/HDB6 P35/D13/HDB5 P34/D12/HDB4 3 P33/D11/HDB3 P32/D10/HDB2 P31/D9/HDB1 P30/D8/HDB0 D15 D14 D13 D12 D11 D10 D9 D8
1
2
3
EXPE=1
2 P37 P36 P35 P34 P33 P32 P31 P30
3
EXPE=0 HDB7 HDB6 HDB5 HDB4 HDB3 HDB2 HDB1 HDB0
8.9
3
238
8.
I/O
8.4.2
8.8 3
8.8
3
R/W 3 P3DDR W H'00 *1 H'FFB4
3 3 MOS
P3DR P3PCR
R/W R/W
H'00 H'00
H'FFB6 H'FFAE
*
16
1
3
P3DDR
P3DDR
8
3
P 3DDR P3DDR
H'00
a P3DDR
1
2
3
EXPE
1
b P3DDR
2 1
3
EXPE
0 3 0
239
8.
I/O
2
3
P3DR
P3DR
8 P3DDR 1 3
3
P37 P3DR
P30
P3DDR
0
3
P3DR
H'00
3
3
MOS
P3PCR
P3PCR
8 MOS 2 3 EXPE 0 P3DDR MOS 0
3
P3PCR
1 P3PCR
H'00
MOS
240
8.
I/O
8.4.3
1 1 2 3 EXPE 1
1 3 2 3 EXPE 1 8.10 3
D1 5 D1 4 D1 3 D1 2 3 D1 1 D1 0 D9 D8
8.10
3
1
2
3
EXPE
1
2
2
3
EXPE 0
2 HDB 7 3 EXP E 0 3 S YSC R 2 3 P 3DR 0 P 3DDR 0 3 8.11 3 1 P 3DDR H'00 HI 12E HI 12E 1 HDB 0
P37 P36 P35 P34 3 P33 P32 P31 P30
HDB7 HDB6 HDB5 HDB4 HDB3 HDB2 HDB1 HDB0
8.11
3
2
3
EXPE
0
241
8.
I/O
8.4.4
3
MOS
MOS MOS 2 3 EXP E= 0
2
3 EXPE=0 MOS MOS
P3DDR
0
P3PCR
1
MOS
8.9
8.9
MOS
3
123 23
EXPE=1
OFF
OFF ON/OFF
EXPE=0
OFF ON/OFF P3DDR 0
MOS P3PCR 1
242
8.
I/O
8.5
8.5.1
4
4 PWX0 TMO1 S CI 2 IrTxD IrRxD
H8S/2147N
8 0 1 TMR0 TMR1
4
14
PWM
PWX1
TMCI0 TMRI0 TMO0 TMCI1 TMRI1 CSYNCI HSYNCI HSYNCO
H8S/2148
TxD2 HIF
R xD2
S CK2
Ir DA
H8S/2148
HIRQ12 HIRQ1 HIRQ11 SDA1 4
H8S/2148
IIC1
H8S/2147N
4
8.12
4 P47 P46 P45 P44 4 P43 P42 P41 P40 PWX1 PWX0 TMRI1 TMO1 TMCI1 TMRI0 TMO0 TMCI0 HIRQ12 HIRQ1 HIRQ11 SCK2 RxD2 TxD2 CSYNCI HSYNCO HCYNCI SDA1 RxD TxD
8.12
4
8.5.2
8.10 4
8.10
4
R/W * H'00 H'00 H'FFB5 H'FFB7
4 4 * 16
P4DDR P4DR
W R/W
243
8.
I/O
1
4
7 0 R/W W 6 0 W 5 0 W
P4DDR
4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
P47DDR P46DDR P45DDR P44DDR P43DDR P42DDR P41DDR P40DDR
P4DDR
8
4
P4DDR
1
4
0
P4DDR
H'00
14 P4DDR P4DR
P WM
S CI 2
TMR 0
TMR 1
HI F
II C1
2
4
7
P4DR
6 P46DR 0 R/W 5 P45DR 0 R/W 4 P44DR 0 R/W 3 P43DR 0 R/W 2 P42DR 0 R/W 1 P41DR 0 R/W 0 P40DR 0 R/W
P47DR 0 R/W R/W
P4DR
8 P4DDR 1 4
4
P47 P4DR
P40
P4DDR
0
4
P4DR
H'00
8.5.3
4 01 TMR0 TMR1 4 14 P WM P WX1 P WX0 TMCI0 TMRI0 TMO0 TMCI1 TMRI1 TMO1 C SYN CI HS YNC I HS YNC O TxD2 R xD2 S CK2 Ir DA IIC1 S CI 2 HI F
Ir TxD Ir RxD SDA1
HIRQ12 HIRQ1 HIRQ11 4 8.11
244
8.
I/O
8.11
4
P47 /PWX1
14
PWM
DACR
OEB
P47DDR
OEB P47DDR P47 0
0 1 P47 PWX1
1
P46 /PWX0
14
PWM
DACR
OEA
P46DDR
OEA P46DDR P46 0
0 1 P46 PWX0
1
P45 /TMRI1 /HIRQ12 /CSYNCI
P45DDR
P45DDR
0 1
P45 TMRI1
P45 CSYNCI
HIRQ12
TMR1
TCR1
CCLR1 CCLR0 CSYNCI
1
TMRI1
P44 /TMO1 /HIRQ1 /HSYNCO
HOE OS3 OS0
TMR1 HOE
TCSR
OS3 OS0
TCONRO
P44DDR
0 0 0 1 1
1
P44DDR
P44
P44
HIRQ1
TMO1
HSYNCO
245
8.
I/O
P43/TMCI1 /HIRQ11 /HSYNCI
P43DDR
P43DDR
0 1
P43 TMCI1
P43 HSYNCI
HIRQ11
TMR1
TCR1
CKS2
CKS0 HSYNCI
TMCI1
P42/TMRI0 /SCK2 /SDA1
IIC1
ICCR
ICE P42DDR
SCI2
SCR
CKE1 CKE0
SMR
C/A
ICE CKE1 C/A CKE0 P42DDR 0 P42 0 1 P42 0 0
0 1 1 1
1 0 0 0
SCK2
SCK2
SCK2
SDA1
TMRI0
SDA1 SMR C/A NMOS TMR0 TCR0 CCLR1 CCLR0 0
SCI2
SCR
CKE1
CKE0 SDA1
1
TMRI0
P41/TMO0 /RxD2 /IrRxD
TMR0
TCSR
OS3 OS0
SCI2
SCR
RE
P41DDR
OS3 RE
OS0 0 0 P41 P41 1
0 1 0
1
P41DDR
RxD2 IrRxD
TMO0
TMO0
SCI2
SCR
RE
0
246
8.
I/O
P40/TMCI0 /TxD2 /IrTxD
SC I2
SC R
TE
P40D DR
TE P40DDR P40 0
0 1 P40 TMCI0
1
TxD2 IrTxD
TMR0
TCR0
CKS2
CKS0
TMCI0
247
8.
I/O
8.6
8.6.1
5
5 R xD0 S CK0 3 II C0 S CL0 H8S /2148 NMOS 5 5 8.13 5
H8 S/ 21 48
S CI 0
H8 S/ 21 47 N
TxD0
H8S /2147N SCL0
P 52
S CK0 NMOS
5
P52 P51 5 P50
SCK0 RxD0 TxD0
SCL0
8.13
5
8.6.2
8.12 5
8.12
5
R/W * H'F8 H'F8 H'FFB8 H'FFBA
5 5 * 16
P5DDR P5DR
W R/W
248
8.
I/O
1
5
P5DDR
P5DDR
8
5 7 3
P5DDR
1
5
0
P5DDR
H'F8 S CI 0
IIC0
ICCR P5DDR
P5DR
2
5
P5DR
P5DR
8 P5DDR 1 5
5
P52 P5DR
P50
P5DDR
0
5
7
3
1
P5DR
H'F8
249
8.
I/O
8.6.3
5 SCL0 SCI0 5 TxD0 RxD0 SCK0 8.13 IIC0
8.13
5
P52/SCK0 /SCL0
SCI0
SMR
C/A P52DDR
SCR
CKE0
CKE1
IIC0
ICCR
ICE
ICE CKE1 C/A CKE0 P52DDR 0 P52 0 1 P52 0 0
0 1 1 1
1 0 0 0
SCK0
SCK0
SCK0
SCL0
SCL0 C/A NMOS H8S/2148 H8S/2147N NMOS P51/RxD0 SCI0 SCR RE P51DDR 0
SCI0
SCR
CKE1 CKE0 SCL0
SMR
P52
SCK0
RE P51DDR P51 0
0 1 P51 RxD0
1
P50/TxD0
SCI0
SCR
TE
P50DDR
TE P50DDR P50 0
0 1 P50 TxD0
1
250
8.
I/O
8.7
8.7.1
6
6 F RT 8 F TOA F TOB TMOX TMIX TMIY CLAMPO A/D
H8S/2148 H8S/2148
6
16 X Y TMRY TMR X
F TIA F TID F TCI
HFBACKI VSYINCI VSYNCO VFBACKI KIN7 IRQ7 IRQ6 6 4 6 KIN0
CIN7 CIN0 H8S/2148 6 8.14 H8S/2147N
6 P67 P66 P65 P64 6 P63 P62 P61 P60 TMOX FTOB FTID FTIC FTIB FTIA FTOA FTCI KIN7 KIN6 KIN5 KIN4 KIN3 KIN2 KIN1 KIN0 CIN7 CIN6 CIN5 CIN4 CIN3 CIN2 CIN1 CIN0 CLAMPO VFBACKI VSYNCI VSYNCO HFBACKI TMIX TMIY IRQ7 IRQ6
8.14
6
251
8.
I/O
8.7.2
8.14 6
8.14
6
R/W *1 H'00 H'00 H'00 H'FFB9 H'FFBB H'FFF2* 2
6 6 6 MOS
P6DDR P6DR KMPCR
W R/W R/W
2 *1 *2 KMPCR 16 TMRX/TMRY SYSC R HI E
SYSCR2
R/W
H'00
H'FF83
TICRR/TCORAY 1 MST PCR L
KMPCR MST P2 0
1
6
P6DDR
P6DDR
8
6
P6DDR
1
6
0
P6DDR
H'00
252
8.
I/O
2
6
P6DR
P6DR
8 P6DDR 1 6
6
P67 P6DR
P60
P6DDR
0
6
P6DR
H'00
3
6
MOS
7 0 R/W R/W 6 0 R/W 5 0 R/W 4 0 R/W
KMPCR
3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
KM7PCR KM6PCR KM5PCR KM4PCR KM3PCR KM2PCR KM1PCR KM0PCR
KMP CR
8 MOS
6
P6DDR
0 MOS
KMPCR
1
KMPCR
H'00
4 H8S/2148
2 SYSCR2 H8S/2147N
7 KWUL1 0 R/W R/W 6 KWUL0 0 R/W 5 P6PUE 0 R/W 0 4 3 SDE 0 R/W 2 CS4E 0 R/W 1 CS3E 0 R/W 0 HI12E 0 R/W
253
8.
I/O
SYSCR2
8
6
765 2 SYSCR2 SYSCR2
4
0
18.2.2
H'00
7 6
6
1
0
KWUL1 KWUL0
6
7 KWUL1 0
6 KWUL0 0 1 6 6 6 6 1 2 3
1
0 1
5 KMPCR
6 6
P6PUE MOS
5 P6PUE 0 1 6 6 MOS MOS
8.7.3
6 F TOB 16 X TMIY TMR X FRT FTOA TMOX TMIX HF BA CK I KIN7 IRQ7 IRQ6 6 8.15 4 KIN0 F TIA F TID F TCI
Y TMR Y
VSYINCI VSYNCO VFBACKI CLAMPO A/D H8S/2148 6 CIN7 CIN0 H8S/2147N
254
8.
I/O
8.15
6
P67/TMOX /IRQ7 /KIN7 /CIN7
TMRX
TCSR
OS3 OS0
P67DDR
OS3
OS0 0 P67 IRQ7 P67 KIN7 CIN7 1 TMOX
1
P67DDR
IER
IRQ7E
1
IRQ7
KIN7 CIN7 P66/FTOB /IRQ6 /KIN6 /CIN6
OEB P66DDR P66 IRQ6 0 P66 KIN6 CIN6 0 1 FTOB 1
FRT
TOCR
OEB
P66DDR
IER
IRQ6E
1
IRQ6
KIN6 CIN6 P65/FTID /KIN5 /CIN5 FTID P64/FTIC /KIN4 /CIN4 /CLAMPO
CLOE P64DDR P64 FTIC 0 P64 KIN4 CIN4 0 1 CLAMPO 1 P65DDR P65 FTID KIN5 0 P65 CIN5 1
KIN5 CIN5 TCONRO CLOE P64DDR
FTIC
KIN4 CIN4
255
8.
I/O
P63/FTIB /KIN3 /CIN3 /VFBACKI
P63DDR P63 FTIB
0 P63 VFBACKI KIN3
1
CIN3
FTIB KIN3 CIN3 VFBACKI P62/FTIA /TMIY /KIN2 /CIN2 /VSYNCI FTIA TMIY KIN2 CIN2 VSYNCI P61/FTOA /KIN1 /CIN1 /VSYNCO
VOE OEA P61DDR P61 0 P61 0 1 FTOA VSYNCO P62DDR P62 FTIA KIN2 VSYNCI CIN2 0 P62 TMIY 1
FR T
TOC R P61DDR
OEA
TC ONR O
VOE
0 1
1 0
KIN1
CIN1
VSYNCO
FRT
TOCR
OEA
0
KIN1 CIN1 P60/FTCI /TMIX /KIN0 /CIN0 /HFBACKI FRT TCR CKS1 CKS0 FTCI
P60DDR P60 FTCI HFBACKI KIN0 CIN0 0 P60 TMIX 1
TMIX KIN0 CIN0 HFBACKI
256
8.
I/O
8.7.4
6
MOS
MOS MOS
P 6DDR
0 P6PUE
KMP CR
1 MOS
MOS
MOS
MOS
MOS
8.16
8.16
MOS
6
123
OFF
ON/OFF
OFF ON/OFF P6DDR 0
MOS KMPCR 1
257
8.
I/O
8.8
8.8.1
7
7 AN0 8 AN7 7 8.15 D/A 7 DA0 A/D DA1 7
7 P77 P76 P75 P74 7 P73 P72 P71 P70 AN7 AN6 AN5 AN4 AN3 AN2 AN1 AN0 DA1 DA0
8.15
7
8.8.2
8.17 7 7
8.17
7
R/W *1 H'FFBE* 2
7 *1 *2 P7PIN 16 PBDDR
P7PIN
R
258
8.
I/O
1
7
P7PIN
*
*
*
*
*
*
*
*
*
P7PIN P 7PIN P BDD R B P BDD R
8.8.3
7 A/D DA0 DA1 AN0 AN7 D/A
259
8.
I/O
8.9
8.9.1
8
8 R xD1 S CK1 HI F 8 II C1 C S2 GA20 S CL1 HA0 8
H8 S/ 21 48
S CI 1
H8 S/ 21 47 N H8 S/ 21 48
TxD1
HI FS D
H8 S/ 21 47 N
IRQ5 IRQ3 8 8.16
8
8
P86 P85 P84 P83 8 P82 P81 P80
IRQ5 IRQ4 IRQ3
SCK1 RxD1 TxD1
SCL1
HIFSD CS2 HA0 GA20
8.16
8
8.9.2
8.18 8
8.18
8
R/W *1 H'80 H'80 H'FFBD* 2 H'FFBF
8 8 *1 *2 P8DDR 16 PBPIN
P8DDR P8DR
W R/W
260
8.
I/O
1
8
7 1 R/W 6 0 W 5 0 W
P8DDR
4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
P86DDR P85DDR P84DDR P83DDR P82DDR P81DDR P80DDR
P8DDR
7 P 8DDR P BP IN
8 B
P8DDR
1
8
0
P8DDR
H'80
2
8
7 1 R/W
P8DR
6 P86DR 0 R/W 5 P85DR 0 R/W 4 P84DR 0 R/W 3 P83DR 0 R/W 2 P82DR 0 R/W 1 P81DR 0 R/W 0 P80DR 0 R/W
P8DR
7 P8DDR 1 8
8
P86 P8DR
P80
P8DDR
0
8
P8DR
H'80
8.9.3
8 S CL1 IRQ3 HI F S CI 1 C S2 GA20 8 TxD1 HA0 R xD1 HI FS D 8.19 S CK1 II C1 IR Q5
261
8.
I/O
8.19
8
P86 /IRQ5 /SCK1 /SCL1
SCI1
SMR
C/A P86DDR
SCR
CKE0
CKE1
IIC1
ICCR
ICE
ICE CKE1 C/A CKE0 P86DDR 0 P86 0 1 P86 0 0
0 1 1 1
1 0 0 0
SCK1
SCK1
SCK1
SCL1
IRQ5
IER SCL1 C/A
IRQ5E
1
IRQ5 SCI1 0 SCR CKE1 CKE0 SCL1 SMR
NMOS P85 /IRQ4 /RxD1
RE P85DDR P85 0 P85 IRQ4 0 1 RxD1 1
SCI1
SCR
RE
P85DDR
IER P84 /IRQ3 /TxD1
TE
IRQ4E SCR TE
1 P84DDR
IRQ4
SCI1
0 0 P84 P84 IRQ3 1 TxD1
1
P84DDR
IER
IRQ3E
1
IRQ3
262
8.
I/O
P83
P83DDR
P83DDR P83 0 P83 1
P82 /HIFSD
SYSCR2
SDE
P82DDR
SDE P82DDR 0 P82 1 P82 0 P82
0 1 P82
1
HIFSD
P81 /GA20 /CS2
FGA20E CS2E P81DDR
SYSC R P81DDR
CS 2E
HI F
HI CR
FGA 20E
0 0 0 P81 1 P81 0 P81 1 P81 CS2 1 0 P81
1
1 GA20
GA20
CS2
2 3 EXPE=0
P80 /HA0
P80DDR
P80DDR
0 P80 P80
1 HA0
263
8.
I/O
8.10
8.10.1
9
9 A/D
H8S/2147N
8 ADTR G IIC0 EXCL HIF
9
IRQ0 IRQ2 ECS2 CS1 IOW IOR
H8S/2148 H8S/2147N
SDA0
H8S/2148
AS /IOS R D
HWR
LWR WAIT H8S/2147N SDA0 P97 NMOS NMOS
H8S/2148
9
8.17
9 P97/WAIT/SDA0 P96/ /EXCL AS HWR RD P92 P91 P90 WAIT
1 2 3 EXPE=1 P97 P96 IOS SDA0 EXCL
P95/AS/IOS/CS1 P94/HWR/IOW 9 P93/RD/IOR P92/IRQ0 P91/IRQ1 P90/LWR/IRQ2/ADTRG/ECS2
IRQ0 IRQ1 LWR 2 3 EXPE=0 IRQ2 ADTRG
P97 P96 P95 P94 P93 P92 P91 P90
SDA0 EXCL CS1 IOW IOR IRQ0 IRQ1 IRQ2 ADTRG ECS2
8.17
9
264
8.
I/O
8.10.2
8.20 9
8.20
9
R/W *1 H'40 H'00*
2
9
P9DDR
W
H'FFC0
9 *1 *2 16
P9DR
R/W
H'00
H'FFC1
1
9
7 6 5
P9DDR
4 3 2 1 0
P97DDR P96DDR P95DDR P94DDR P93DDR P92DDR P91DDR P90DDR 0 R/W W 0 R/W W 1 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W
P9DDR
8
9
P 9DDR 23 H'00
1
H'40 P9DDR
a P 97 SDA0
1
2
3
EXPE
1 WAIT II C0 1
P97DDR 0
P 96 EXCL P95 P93
P 96DDR
1
0
P95DDR
P93DDR
AS/IOS HWR RD P 92 P 91 P 92DDR P 91DDR 1 0
265
8.
I/O
P 90
WS C R
AB W LWR
0 ABW 0
P 90DDR 1
P90DDR
1
b P9DDR
2 1
3
EXPE
0 P96 P97 P95 P90
P9DDR
0
2
9
P9DR
7 P97DR 0 R/W * P96 R/W 6 P96DR * R 5 P95DR 0 R/W 4 P94DR 0 R/W 3 P93DR 0 R/W 2 P92DR 0 R/W 1 P91DR 0 R/W 0 P90DR 0 R/W
P9DR
8 P96 P9DDR 1
9 9
P97
P90
P9DR 9 P9DR H'00
P9DDR
0
8.10.3
9 HIF EXC L ECS2 CS1 IRQ0 IRQ2 IOW IOR IIC0 A/D SDA0 WA IT 1 ADTRG
AS /IO S R D HW R LW R
1 2 3 EXPE 2 3 EXPE 0 9 8.21
266
8.
I/O
8.21
9
P97/WAIT /SDA0
WSCR
WMS1
IIC0
ICCR
ICE
P97DDR
1 WMS1 ICE P97DDR 0 P97 0 1 0
2
3
EXPE=1 1 1 0 SDA0 WAIT P97 0
2
3
EXPE=0
1 1 P97 SDA0
P97
H8S/2148 NMOS
H8S/2147N
P97 SDA0 NMOS
P96/ /EXCL
LPWRCR
EXCLE
P96DDR
P96DDR EXCLE P96 0
0 1 EXCL
1 0
EXCL P95/AS /IOS /CS1
HI12E P95DDR IOSE AS 0 IOS 1 1 2 3
P96DDR SYSCR IOSE SYSCR2
0 HI12E P95DDR
EXPE=1 0 0
2
3
EXPE=0 1 1
P95
P95
CS1
P94/HWR /IOW
SYSCR2
HI12E
P94DDR
1
2
3
2
3
EXPE=0
EXPE=1 HI12E P94DDR HWR P94 0 P94 0 1 IOW 1
267
8.
I/O
P93/RD /IOR
SYSC R2
HI 12E
P93D DR
1
2
3
2
3
EXPE=0
EXPE=1 HI12E P93DDR RD P93 0 P93 0 1 IOR 1
P92/IRQ0
P92DDR P92
0 P92 IRQ0
1
IER P91/IRQ1
IRQ0E
P91DDR
1
0 P91
IRQ0
1 P91 IRQ1
IER P90/LWR /IRQ2 /ADTRG /ECS2 HICR
IRQ1E WSCR FGA20E
1 ABW
IRQ1 SYSCR2 P90DDR HI12E CS2E
1 ABW HI12E FGA20E CS2E P90DDR LWR 0
2
3
EXPE=1 1
2
3
EXPE=0
0
1 1 1
0 P90
1 P90
0 P90
1 P90 ECS2
IRQ2
ADTRG
1 2 3 EXPE=1 2 3 EXPE=0 IRQ2 A/D ADTRG ADCR
WSCR
ABW IRQ2E
1 1
IER
TRGS1 TRGS0
1
268
8.
I/O
8.11
8.11.1
A
A 8 A P S2AC P S2AD P S2B C P S2B D P S2C C P S2C D
H8S/2147N H8 S/ 21 48
KIN15 A23 A16 A
KIN8
A/D A 8.18
CIN15
CIN8
A PA7/A23/KIN15/CIN15/PS2CD PA6/A22/KIN14/CIN14/PS2CC PA5/A21/KIN13/CIN13/PS2BD PA4/A20/KIN12/CIN12/PS2BC A PA3/A19/KIN11/CIN11/PS2AD PA2/A18/KIN10/CIN10/PS2AC PA1/A17/KIN9/CIN9 PA0/A16/KIN8/CIN8 PA7 PA6 PA5 PA4 PA3 PA2 PA1 PA0
1
2 EXPE=0 KIN15 KIN14 KIN13 KIN12 KIN11 KIN10 KIN9 KIN8 CIN15 CIN14 CIN13 CIN12 CIN11 CIN10 CIN9 CIN8
3 PS2CD PS2CC PS2BD PS2BC PS2AD PS2AC
2 EXPE=1 PA7 PA6 PA5 PA4 PA3 PA2 PA1 PA0 A23 A22 A21 A20 A19 A18 A17 A16 KIN15 KIN14 KIN13 KIN12 KIN11 KIN10 KIN9 KIN8 CIN15 CIN14 CIN13 CIN12 CIN11 CIN10 CIN9 CIN8 PS2CD PS2CC PS2BD PS2BC PS2AD PS2AC
8.18
A
8.11.2
8.22 A
8.22
A
R/W *1 H'00 H'00 H'FFAB* 2 H'FFAA H'FFAB* 2
A A A *1 *2 PADDR 16 PAPIN
PADDR PAODR PAPIN
W R/W R
269
8.
I/O
1
A
7 0 R/W W 6 0 W 5 0 W
PADDR
4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
PA7DDR PA6DDR PA5DDR PA4DDR PA3DDR PA2DDR PA1DDR PA0DDR
P ADDR
8
A
P ADDR
1
A
0
PADDR
H'00
2
A
7 0 R/W R/W
PAODR
6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
PA7ODR PA6ODR PA5ODR PA4ODR PA3ODR PA2ODR PA1ODR PA0ODR
P AODR PA0
8 PADDR
A PAODR
P A7
PAODR
H'00
3
A
7 * R/W * R
PAPIN
6 * R 5 * R 4 * R 3 * R 2 * R 1 * R 0 * R
PA7PIN PA6PIN PA5PIN PA4PIN PA3PIN PA2PIN PA1PIN PA0PIN
PA7 PA0
PAPIN
270
8.
I/O
8.11.3
A PS2BC PS2BD PS2CC PS2CD A/D A CIN15 CIN8 8.23 A23 A16 PS2AC PS2AD KIN15 KIN8
8.23
A
PA7 /A23 /PS2CD /KIN15 /CIN15
KBIOE PA7DDR 0 12 0 1 EXPE=0 3 1 0
KBCR2H SYSCR IOSE PA7DDR
KBIOE
2 0
EXPE=1 1 1
0 PA7 PA7 PS2CD PA7 A23
1 PA7 PS2CD
KIN15
CIN15
PS2CD
STCR
IICS
1
PS2CD KIN15 CIN15 PA6 /A22 /PS2CC /KIN14 /CIN14
KBIOE PA6DDR 0 1 0 1 2 EXPE=0 3 1 0 0 PA6 PA6 PS2CC PA6 A22 0 1 1 PA6 PS2CC 2 EXPE=1 1
KBCR2H SYSCR IOSE PA6DDR
KBIOE
KIN14
CIN14
PS2CC
STCR
IICS
1
PS2CC KIN14 CIN14
271
8.
I/O
PA5 /A21 /PS2BD /KIN13 /CIN13
KBIOE PA5DDR 0 1 0 1 2 EXPE=0 3 1 0
KBCR1H SYSCR IOSE PA5DDR
KBIOE
2 EXPE=1 0 1 0 1 PA5 PS2BD 1
PA5
PA5
PS2BD
PA5
A21
KIN13
CIN13
PS2BD
STCR
IICS PS2BD
1 KIN13 CIN13 KBCR1H KBIOE
PA4 /A20 /PS2BC /KIN12 /CIN12
KBIOE PA4DDR 0 1 0 1 2 EXPE=0 3 1 0
SYSCR
IOSE
PA4DDR
2 0
EXPE=1 1 1
0 PA4 PA4 PS2BC PA4 A20
1 PA4 PS2BC
KIN12
CIN12
PS2BC
STCR
IICS PS2BC
1 KIN12 CIN12 KBCR0H KBIOE
PA3 /A19 /PS2AD /KIN11 /CIN11
KBIOE PA3DDR 0 1 0 1 2 EXPE=0 3 1 0
SYSCR
IOSE
PA3DDR
2 0
EXPE=1 1 1
0 PA3 PA3 PS2AD PA3 A19
1 PA3 PS2AD
KIN11
CIN11
PS2AD
PS2AD
KIN11 CIN11
272
8.
I/O
PA2 /A18 /PS2AC /KIN10 /CIN10
KBIOE PA2DDR 0 12 0 1 EXPE=0 3 1 0
KBCR0H SYSCR IOSE PA2DDR
KBIOE
2 0
EXPE=1 1 1
0 PA2 PA2 PS2AC PA2 A18
1 PA2 PS2AC
KIN10
CIN10
PS2AC
PS2AC PA1 /A17 /KIN9 /CIN9
PA1DDR 0
KIN10 CIN10 IOSE PA1DDR
SYSCR
1
2 EXPE=0 1
3 0
2 EXPE=1
0 PA1 PA1 KIN9 PA1 CIN9 A17 PA1
1
KIN9 CIN9 PA0 /A16 /KIN8 /CIN8
PA0DDR 0 1 2 EXPE=0 1 3 0 0 PA0 PA0 KIN8 PA0 CIN8 A16 PA0 1 2 EXPE=1
SYSCR
IOSE
PA0DDR
KIN8 CIN8
273
8.
I/O
8.11.4
A
MOS
MOS MOS
P ADDR
0 P A7 P A4
P AODR II CS PA2 1
1
MOS
MOS
PA7
MOS MOS
MOS
8.24
8.24
MOS
A
123
OFF
ON/OFF
OFF ON/OFF PADDR 0
MOS PAODR 1
274
8.
I/O
8.12
8.12.1
B
B HI RQ3 D0 B 8.19 HI RQ4 8 H8S /2148 H8S /2147N B HIF CS3 CS4 D7
B PB7/D7 PB6/D6 PB5/D5 PB4/D4 B PB3/D3/CS4 PB2/D2/CS3 PB1/D1/HIRQ4 PB0/D0/HIRQ3
1 ABW=0 D7 D6 D5 D4 D3 D2 D1 D0
2
3
EXPE=1
1 2 3 EXPE=1 ABW=1 1 2 3 EXPE=0 PB7 PB6 PB5 PB4 PB3 PB2 PB1 PB0 CS4 CS3 HIRQ4 HIRQ3
8.19
B
275
8.
I/O
8.12.2
8.25 B
8.25
B
R/W *1 H'00 H'00 H'FFBE* 2 H'FFBC H'FFBD* 3
B B B *1 *2 PBDDR *3 PBPIN 16 P7PIN P8DDR
PBDDR PBODR PBPIN
W R/W R
1
B
7 0 R/W W 6 0 W 5 0 W
PBDDR
4 0 W 3 0 W 2 0 W 1 0 W 0 0 W
PB7DDR PB6DDR PB5DDR PB4DDR PB3DDR PB2DDR PB1DDR PB0DDR
P BDD R
8 PBDDR P7PIN
B 7
P BDD R
1
B
0
PBDDR
H'00
a WS C R
1
2
3
EXPE 0
1 P BDD R ABW B 1 0
AB W
D7 D0 P BDD R 1
276
8.
I/O
b P BDD R
2 1
3
EXPE
0 B 0
2
B
7 0 R/W R/W
PBODR
6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W 2 0 R/W 1 0 R/W 0 0 R/W
PB7ODR PB6ODR PB5ODR PB4ODR PB3ODR PB2ODR PB1ODR PB0ODR
P BOD R PB0
8 PBDDR
B PBODR
P B7
PBODR
H'00
3
B
7 * R/W * R
PBPIN
6 * R 5 * R 4 * R 3 * R 2 * R 1 * R 0 * R
PB7PIN PB6PIN PB5PIN PB4PIN PB3PIN PB2PIN PB1PIN PB0PIN
PB7 PB0
PBPIN PBPIN 8 P8DDR P8DDR
277
8.
I/O
8.12.3
B H8S /2147N B 8.26 HI F C S3 C S4 D7 HI RQ3 HI RQ4 D0 H8S /2148
8.26
B
PB7/D7
PB7DDR
WSCR
ABW
1 ABW PB7DDR D7 PB7 0 0
2 3 EXPE=1 1 0 PB7 PB7
2
EXPE=0
1 PB7
PB6/D6
PB6DDR
WSCR
ABW
1 ABW PB6DDR D6 PB6 0 0
2 3 EXPE=1 1 0 PB6 PB6
2
EXPE=0
1 PB6
PB5/D5
PB5DDR
WSCR
ABW
1 ABW PB5DDR D5 PB5 0 0
2 3 EXPE=1 1 0 PB5 PB5
2
EXPE=0
1 PB5
PB4/D4
PB4DDR
WSCR
ABW
1 ABW PB4DDR D4 PB4 0 0
2 3 EXPE=1 1 0 PB4 PB4
2
EXPE=0
1 PB4
278
8.
I/O
PB3/D3/CS4
SYSCR2 PB3DDR
1 HI12E CS4E ABW PB3DDR D3 0
HI12E
CS4E
WSCR
ABW
2 3 EXPE=1
2 3 EXPE=0 0 1 1
1 0 PB3 1 PB3 0 PB3 1 PB3 CS4
PB2/D2/CS3
SYSCR2 PB2DDR
1 HI12E CS3E ABW PB2DDR D2 0
HI12E
CS3E
WSCR
ABW
2 3 EXPE=1
2 3 EXPE=0 0 1 1
1 0 PB2 1 PB2 0 PB2 1 PB2 CS3
PB1/D1/HIRQ4
SYSCR2 PB1DDR
1 HI12E CS4E ABW PB1DDR D1 0
HI12E
CS4E
WSCR
ABW
2
3
EXPE=1
2
3 0
EXPE=0 1 1
1 0 PB1 1 PB1 0 PB1 1 PB1 HIRQ4
PB0/D0/HIRQ3
SYSCR2 PB0DDR
1 HI12E CS3E ABW PB0DDR D0 0
HI12E
CS3E
WSCR
ABW
2
3
EXPE=1
2
3 0
EXPE=0 1 1
1 0 PB0 1 PB0 0 PB0 1 PB0 HIRQ3
279
8.
I/O
8.12.4
B
MOS
MOS MOS 1 2 2 3 3 EXP E= 1 WS C R AB W 1
EXPE=0
P BDD R
0
P BOD R
1
MOS
MOS MOS
MOS
8.27
8.27
MOS
B
1 2 3 EXPE=1 WSCR ABW=0
OFF
OFF
1 2 3 EXPE=1 WSCR ABW=1
ON/OFF
2 3 EXPE=0
OFF ON/OFF PBDDR 0
MOS PBODR 1
280
9. 8 PWM
H8S/2148 H8S/2144 H8S/2147N
PWM
9
9.1 ....................................................................................................................................... 283 9.1.1 9.1.2 9.1.3 9.1.4 9.2 9.2.1 9.2.2 9.2.3 9.2.4 9.2.5 9.2.6 9.2.7 9.2.8 9.2.9 9.2.10 9.3 PWM 9.3.1 1 2 1 2 P1DR P2DR ...................................................................................................... 283 ............................................................................................ 284 ................................................................................................ 285 ......................................................................................... 285 ................................................................................................................... 286 PWM PWM PWM PWM PWSL PWDR0 .......................................................... 286 PWDR15 PWDPRA ........................................... 289 PWDPRB ....................... 289 ............ 290
PWOERA PWOERB
PCSR ................................................ 291 P1DDR P2DDR ................................ 292 ................................ 292
.......................................................... 292 .......................................................... 293 MSTPCR .......................... 293
................................................................................................................. 294 PWM ........................................ 294
9.
8
PWM
PWM
282
9.
8
PWM
PWM
9.1
LS I 16 P WM P WDR 0 100 16 8 P WM P 1DR P 2DR P WDR 16 P WM P ulse Width Modula tion
9.1.1
PWM
1.25MHz 0 P WM 100 1 256 100
20MHz
283
9.
8
PWM
PWM
9.1.2
P WM 9.1
PWSL PWDR PWDPRA PWDPRB PWOERA PWOERB PCSR P1DDR P2DDR P1DR P2DR
9.1
PWM
284
9.
8
PWM
PWM
9.1.3
PWM 9.1
9.1
PWM
0 15
PW0
PW15
PWM
0 15
9.1.4
PWM 9.2
9.2
R/W PWM PWM PWM PWM PWM PWM 1 2 1 2 0 15 A B PWSL PWDR0 PWDR15 PWDPRA PWDPRB A PWOERA B PWOERB P1DDR P2DDR P1DR P2DR PCSR MSTPCRH MSTPCRL *1 *2 8 PWM STCR FLSHE 16 R/ R/W R/W R/W R/W R/W W W R/W R/W R/W R/W R/W H'20 H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'3F H'FF *1 H'FFD6 H'FFD7 H'FFD5 H'FFD4 H'FFD3 H'FFD2 H'FFB0 H'FFB1 H'FFB2 H'FFB3 H'FF82* 2 H'FF86 H'FF87
285
9.
8
PWM
PWM
9.2
9.2.1 PWM PWSL
P WS L
8 PWM
P WM
PWSL H'20
7
6
PWM PWM PWCKE PWCKS TCNT
PCSR
PWCKA PWCKB
PWM
PWSL 7 6 PWCKE PWCKS 0 1 0 1
PCSR 2 1 PWCKB PWCKA
0
0 1
2 4 8 16
1
0 1
286
9.
8
PWM
PWM
PWM
PWM
1 PWM 16 256 PWM
20MHz 9.3
PWM
9.3
20MHz
PWM
PWM 50ns 12.8 25.6 51.2 102.4 204.8 s s s s s 1250kHz 625kHz 312.5kHz 156.3kHz 78.1kHz
2 4 8 16
100ns 200ns 400ns 800ns
5 1
4 0
287
9.
8
PWM
PWM
3 PWM
0
RS3 RS0
3 RS3 0 RS2 0
2 RS1 0
1 RS0 0 1 1 0 1
0
PWDR0 PWDR1 PWDR2 PWDR3 PWDR4 PWDR5 PWDR6 PWDR7 PWDR8 PWDR9 PWDR10 PWDR11 PWDR12 PWDR13 PWDR14 PWDR15
1
0
0 1
1
0 1
1
0
0
0 1
1
0 1
1
0
0 1
1
0 1
288
9.
8
PWM
PWM
9.2.2 PWM
PWDR0
PWDR15
PWDR
8 PWDR 0 0 4 16 0 1 0 256 255 16 15 16 1 16
1
4
256
256
256 100
PWDR H'00
9.2.3 PWM
PWDPRA PWDPRB
PWDPR
8 OS0 OS15 PW0 PW15
2
PWM
PWDPR
H'00
OS 0 1 PWM PWM PWDR PWDR High Low
289
9.
8
PWM
PWM
9.2.4 PWM
PWOERA PWOERB
PWOER
8 OE15 OE0 P W15
2 P W0
PWM
P 17DDR PW15 PWOER PW8
P 10DDR
P W7
P W0
P 27DDR
P 20DDR
H'00
DDR 0
OE 0 1
1
0 1 PWM
PWM 0 255 256
256 256
290
9.
8
PWM
PWM
9.2.5
PCSR
PCSR
8
PWM
PCSR
H'00
7
3 0
2 PWSL
1
PWM PWCKS
PWCKB
PWCKA TCNT PWSL
PWCKE
PWM
9.2.1 PWM
0 1
291
9.
8
PWM
PWM
9.2.6
1
P1DDR
P 1DDR PWM 1
8
1
PW0 1
PW7
PWM
P1DDR
8.2
1
9.2.7
2
P2DDR
P 2DDR PWM 2
8
2
P W8 1
P W15
P WM
P2DDR
8.3
2
9.2.8
1
P1DR
P1DR
8 0 OS 8.2 1 1
PWM
1
OS
0
P1DR
292
9.
8
PWM
PWM
9.2.9
2
P2DR
P2DR
8 0 OS 8.3 1 2
PWM
1
OS
0
P2DR
9.2.10
MSTPCR
MSTPCR
8
2
MST P11
1
8 25.5
P WM
MST PC R
H'3F FF
MSTPCRH PWM
3
MSTP11
MSTPCRH 3 MSTP11 0 1 PWM PWM
293
9.
8
PWM
PWM
9.3 PWM
9.3.1 PWM
PWDR 4 0 16 15 16 1 16
9.4
PWDR
4
16
High
OS
0
P WDR
4
0000
294
9.
8
PWM
PWM
9.5
4 0 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111 1 2 3 4 5 6 7 8 No. 9 10 11 12 13 14 15
9.2
PWDR
4
1000
295
9.
8
PWM
PWM
296
10. 14 PWMX
PWM
10
10.1 ....................................................................................................................................... 299 10.1.1 10.1.2 10.1.3 10.1.4 10.2 10.2.1 10.2.2 10.2.3 10.2.4 10.3 10.4 ...................................................................................................... 299 ............................................................................................ 299 ................................................................................................ 300 ......................................................................................... 300 ................................................................................................................... 301 PWM D/A PWM D/A D/A DACNT AB ......................................................... 301 B .............................................. 302 ....................................... 303 MSTPCR .......................... 305
DADRA
DACR
............................................................................................... 307 ................................................................................................................................. 310
10.
14
PWM
PWMX
298
10.
14
PWM
PWMX
10.1
LSI 2 14 PWM Pulse Width Modulator 14 D/A LSI
2
PWM
DACNT
DACR
10.1.1
14 PWM D/A
2
2 2 2 T 64 T 256 T
4 4 2 2
10.1.2
P WM D/A 10.1
10.1 PWM D/A
299
10.
14
PWM
PWMX
10.1.3
PWM D/A 10.1
10.1
PWM PWM
0 1
PWX0 PWX1
A B
PWM PWM
10.1.4
PWM D/A 10.2
10.2
R/W PWM PWM PWM PWM PWM PWM PWM D/A D/A D/A D/A D/A D/A D/A H L AH AL BH BL DACR DADRAH DADRAL DADRBH DADRBL DACNTH DACNTL MSTPCRH MSTPCRL *1 *2 14 PWM ST CR IICE DADRAH DACNT DACR DADRB DADRB REGS DACNT 16 R/W R/W R/W R/W R/W R/W R/W R/W R/W H'30 H'FF H'FF H'FF H'FF H'00 H'03 H'3F H'FF *1 H'FFA0* 2 H'FFA0* 2 H'FFA1* 2 H'FFA6* 2 H'FFA7* 2 H'FFA6* 2 H'FFA7* 2 H'FF86 H'FF87
300
10.
14
PWM
PWMX
10.2
10.2.1 PWM D/A DACNT
DACNT
14 DAC R
C KS
DAC NT CPU 10.3
C PU TEMP
16
DACNT
2
PWM
D/A 12 12
14 2
DAC NT PWME H'0003
DACNTL
1
CPU
1
DACNTL DADRA
0 DACR DADRB
REGS DACNT R EGS REGS
DADRB
DACNT
0 REGS 0 1 DADRA DACR DADRB DACNT
301
10.
14
PWM
PWMX
10.2.2
D/A
A B DADRA B
DAD R
16 DADRA PWM D/A DAD R CPU 10.3 C PU
2 A DADRB
DAD RA PWM D/A
B B 16
TEMP
DADRA DADR
CPU
1
H'FFFF
15 3 DADR DADR
D/A 14 14
13 0 D/A
DA13 0
DACNT
DADR C FS DADR 12 12 2 PWM 2 2 DA1 0 0 DAC NT
302
10.
14
PWM
PWMX
1
CFS
1 CFS 0 1 T T 64 256 DADR DADR H'0401 H'FFFD H'0103 H'FFFF
DADRA
0 1
DADRB DADRA
0 DACR DADRB
REGS DACNT R EGS REGS
DADRB
DACNT
0 REGS 0 1 DADRA DACR DADRB DACNT
10.2.3
PWM D/A
DACR
DACR
8
DACR H'30
303
10.
14
PWM
PWMX
7
TEST LSI
0
7 TEST 0 1 PWM PWM D/A D/A
6 DACNT
PWM
PWME
6 PWME 0 1 DACNT DACNT 14 H'0003
5
4 1
3 PWM D/A B
B
OEB
3 OEB 0 1 PWM PWM D/A D/A B B PWX1 PWX1
2 PWM D/A A
A
OEA
2 OEA 0 1 PWM PWM D/A D/A A A PWX0 PWX0
304
10.
14
PWM
PWMX
1 PWM D/A
OS
1 OS 0 1 PWM PWM
0 P WM D/A 200ns
CKS 10MHz
100ns
0 CKS 0 1 T T t cyc t cyc 2
10.2.4
MSTPCR
MSTPCR
8
2
MSTP11
1
14 25.5
PWM
MST PC R
H'3F FF
305
10.
14
PWM
PWMX
MSTPCRH PWMX
3
MSTP11
MSTPCRH 3 MSTP11 0 1 PWMX PWMX
306
10.
14
PWM
PWMX
10.3
DACNT DADRA B 16 8 8 TEMP CPU
1 TEMP TEMP 16
2 C PU TEMP TEMP C PU
MOV 2
16
1 0.2
C PU
DAC NT
1
DACNT MOV W R0 DACNT DACNT R0
2
DADRA MOV W DADRA R0 DADRA R0
307
10.
14
PWM
PWMX
10.3 16
DADRA B DACNT
CPU H'AA
TEMP H'AA
DACNTH
DACNTL
CPU H'57
TEMP H'AA
DACNTH H'AA
DACNTL H'57
10.2 a
DACNT
CPU DACNT H'AA57
308
10.
14
PWM
PWMX
CPU H'AA
TEMP H'57
DACNTH H'AA
DACNTL H'57
CPU H'57
TEMP H'57
DACNTH
DACNTL
10.2 b
DACNT
DACNT CPU H'AA57
309
10.
14
PWM
PWMX
10.4
PWMX CFS DADR 0 10.3 256 CFS OS 0 1 10.4 TH PWM 1 64 0 1 TL OS 1
DAD R
10.3 PWM D/A
C KS C FS DADR 10.3
OS PWM DADR 12 10 DADR 0
1 0.4 PWM
10.4
310
10.
14
PWM
PWMX
10.4
CKS T
s s s
10MHz
CFS OS TL TH 0 OS 1 3 1 Low/High 14 2 1 0
s
DADR *
0
0.1
0
6.4 1638.4
1638.4
DADR 2( DADR 1 25.6 1638.4 1
H'0001 ) H'0401 Low/High T
H'03FD
12 10 0 0
0 0
0 0
409.6 102.4
H'FFFD 14 1638.4
DADR 2( DADR 1 0.2 0 12.8 3276.8 1
H'0003 ) H'0103 Low/High T
H'00FF
12 10 0 0
0 0
0 0
409.6 102.4
H'FFFF 14 3276.8
DADR 2( DADR 1 51.2 3276.8 1
H'0001 ) H'0401 Low/High T
H'03FD
12 10 0 0
0 0
0 0
819.2 204.8
H'FFFD 14 3276.8
DADR 2( DADR
H'0003 ) H'0103 T
H'00FF
12 10 0 0
0 0
0 0
819.2 204.8
H'FFFF
*
DADR
1
OS 0
DADR
a CFS
TL
0 (T) 64
10.4 1
311
10.
14
PWM
PWMX
b
CFS
1
(T)
256
10.4 2
2
OS 1
DADR
a CFS
TH
0 (T) 64
10.4 3
b
CFS
1
(T)
256
10.4 4
312
11. 16 FRT
11
11.1 ....................................................................................................................................... 315 11.1.1 11.1.2 11.1.3 11.1.4 11.2 11.2.1 11.2.2 11.2.3 11.2.4 11.2.5 11.2.6 11.2.7 11.2.8 11.2.9 11.2.10 11.3 MSTPCR ...................................................................................................... 315 ............................................................................................ 316 ................................................................................................ 317 ......................................................................................... 318 ................................................................................................................... 319 FRC ........................................................ 319 OCRA OCRB ICRD ......................... 319 ............................ 320 ................. 321
AB
A D ICRA AR AF
OCRAR OCRAF
DM OCRDM TIER
..................................... 322 ................................... 322 ............................... 325
TCSR
TCR ..................................................... 329 TOCR ................. 332
.......................... 335
................................................................................................................................. 336 11.3.1 11.3.2 11.3.3 11.3.4 11.3.5 11.3.6 11.3.7 11.3.8 OCRA OCRAR OCRAF ICFA OCFA OVF FRC FRC ..................................................................... 336 .................................................... 337 ........................................................................ 338 .................................................... 338 D B ................ 341 .............. 341 .......................... 342 ................................... 342
11.
16
FRT
11.3.9 11.4 11.5 11.6 FRT
ICRD
OCRDM
........................................ 343
............................................................................................................................. 344 .......................................................................................................................... 345 .......................................................................................................................... 346
314
11.
16
FRT
11.1
LSI 16 FRT Free Running Timer 1 FRT 16 FRC 2
11.1.1
FRT
4 3 2 8 32
2 2 4
A 7 2 4 1
OCRA
OCRAR
OCRAF
ICRD
OCRDM
2
315
11.
16
FRT
11.1.2
16 11.1
11.1 16
316
11.
16
FRT
11.1.3
FRT 11.1
11.1
FTCI A B A B C D FTOA FTOB FTIA FTIB FTIC FTID
FRC A B A B C D
317
11.
16
FRT
11.1.4
FRT 11.2
11.2
R/W TIER TCSR FRC A B OCRA OCRB TCR TOCR R/W R/(W) *2 R/W R/W R/W R/W R/W H'01 H'00 H'0000 H'FFFF H'FFFF H'00 H'00 H'FF90 H'FF91 H'FF92 H'FF94 *3 H'FF94 *3 H'FF96 H'FF97 *1
A B C D AR AF DM
ICRA ICRB ICRC ICRD OCRAR OCRAF OCRDM MSTPCRH MSTPCRL
R R R R R/W R/W R/W R/W R/W
H'0000 H'0000 H'0000 H'0000 H'FFFF H'FFFF H'0000 H'3F H'FF
H'FF98*4 H'FF9A*4 H'FF9C*4 H'FF9E H'FF98*4 H'FF9A*4 H'FF9C*4 H'FF86 H'FF87
*1 *2 71 0 *3 OCRA
16 0
OCRB
TOCR
OCRS
* 4 I CRA I CRB I CRC TOCR
OCR AR OCR AF OCR DM ICRS
318
11.
16
FRT
11.2
11.2.1 FRC
F RC
16 TC R 1 0
CKS1 CKS0 FRC F RC 1 F RC H'0000 A H'F FF F H'0000 TC SR OVF
11.2.2
A B OCRA OCRB
OCR
16
2
OCRA
OCRB
OCR
FRC OCFA OC R F RC OEA OEB OLVLA FTOA FTOB OLVLB 1 OCFB 1 TOC R TOCR
TCSR
FTOA FTOB OC R
0 H'F FF F
319
11.
16
FRT
11.2.3
A D ICRA ICRD
ICR
16
4 F TIA F RC F TID
ICRA
ICRD
IC R A IC R D IC F A IC F D 1 IED GA IED GD
TC SR TC R
IC R C IC R D
TC R
AB
B UFE A B UFE B
ICRA ICRB
IC R C
IC R A IC R C IC R A
B UFE A 1
1 1.2
IED GA IED GC IED GA IED GC 11.3 FRC ICR ICF
11.2
320
11.
16
FRT
11.3
IEDGA 0 IEDGC 0 A FTIA
1 1 0 1
A FTIA
A FTIA
1.5 2.5 ICR
1.5
2.5
H'0000
11.2.4
AR AF
OCRAR
OCRAF
OCRAR OCRAF TOCR OCRAMS
16 1 OCRAR OCRAF OCRA OCRAR OCRAF OCRA A 1 A OCRAF
OCRA OCRAMS
A TOCR A 1
OCRAR OCRAF OLVLA OC R AR A OCRAF 0
OCRA /2 OCRAR OCRAF
FRC H'0001 H'F FF F
OC R AR OC R AF
321
11.
16
FRT
11.2.5
DM
OCRDM
OCRDM H'00 TOCR
16
OCRDM
8
ICRDMS
1 OC R DM
OCRDM
H'0000 D
IC R D
IC R D 2 FRC D ICRDMS 1 OCRDM H'0000
OC R DM
OCRDM
H'0000
11.2.6
TIER
TIER
8
TIER
H'01
7 TC SR ICIA
A A IC F A 1
ICIAE IC F A
7 ICIAE 0 1 ICFA ICFA ICIA ICIA
322
11.
16
FRT
6 TC S R IC IB B
B IC F B 1
ICIBE IC F B
6 ICIBE 0 1 ICFB ICFB ICIB ICIB
5 TCSR ICIC
C C ICFC 1
ICICE ICFC
5 ICICE 0 1 ICFC ICFC ICIC ICIC
4 TC SR ICID
D D IC F D 1
ICIDE IC F D
4 ICIDE 0 1 ICFD ICFD ICID ICID
3 TCSR OCIA
A A OCFA 1
OCIAE OCFA
3 OCIAE 0 1 OCFA OCFA OCIA OCIA
323
11.
16
FRT
2 TCSR OCIB B
B OCFB 1
OCIBE OCFB
2 OCIBE 0 1 OCFB OCFB OCIB OCIB
1 TC SR FOVI OVF 1
OVIE OVF
1 OVIE 0 1 OVF OVF FOVI FOVI
0 1
324
11.
16
FRT
11.2.7
TCSR
*
TC SR
8
TCSR 11.3
H'00
7
A FRC BUFEA FRC 1 ICRA
ICFA ICRA ICFA ICRA
ICRC
7 ICFA 0 ICFA 1 1 FRC ICRA ICFA ICFA 0
6
B
ICFB ICRB ICFB ICRB
FRC BUFEB FRC ICRD 1 ICRB
325
11.
16
FRT
6 ICFB 0 ICFB 1 1 FRC ICRB ICFB ICFB 0
5
C ICFC FRC BUFEA 1 ICRC FTIC IEDGC IC F C ICRC ICFC 1
ICICE
5 ICFC 0 ICFC 1 1 ICFC ICFC 0
4
D ICFD FRC B UFE B 1 ICRD F TID IED GD IC F D ICRD ICFD 1
ICIDE
326
11.
16
FRT
4 ICFD 0 ICFD 1 1 ICFD ICFD 0
3 FRC OCRA
A
OCFA
3 OCFA 0 OCFA 1 1 FRC OCRA OCFA OCFA 0
2 FRC OCRB
B
OCFB
2 OCFB 0 OCFB 1 1 FRC OCRB OCFB OCFB 0
327
11.
16
FRT
1 FRC
OVF H'FFFF H'0000
1 OVF 0 OVF 1 FRC H'FFFF H'0000 1 OVF OVF 0
0 A F RC
A
CCLRA OC R A F RC
0 CCLRA 0 1 FRC A FRC
328
11.
16
FRT
11.2.8
TCR
TCR
8 FRC
TCR
H'00
7 A FTIA
A
IEDGA
7 IEDGA 0 1 A A
6 B FTIB
B
IEDGB
6 IEDGB 0 1 B B
329
11.
16
FRT
5 C FTIC
C IEDGC
5 IEDGC 0 1 C C
4 D FTID
D IEDGD
4 IEDGD 0 1 D D
3 ICRC ICRA
A
BUFEA
3 BUFEA 0 1 ICRC ICRC ICRA ICRA
2 ICRD ICRB
B
BUFEB
2 BUFEB 0 1 ICRD ICRD ICRB ICRB
330
11.
16
FRT
1 FRC
0
CKS1 0 3 FTCI
1 CKS1 0 CKS0 0 1 1 0 1
0
2 8 32
331
11.
16
FRT
11.2.9
TOCR
TOC R
8 AB ICRD AB C H'00 OCRA
TOCR
7 IC R D
D OC R DM
ICRDMS
7 ICRDMS 0 1 ICRD ICRD OCRDM
6 OCRA
A
OCRAMS OCRAR OCRAF
6 OCRAMS 0 1 OCRA OCRA OCRAR OCRAF
332
11.
16
FRT
5 IC R A OC R AR IC R B OC R AF IC R C
ICRS OC R DM IC R S
ICRA
ICRB
ICRC
5 ICRS 0 1 ICRA ICRB ICRC OCRDM
OCRAR OCRAF
4 OCRA OCRB OCRS
OCRS
OCRA
OCRB
4 OCRS 0 1 OCRA OCRB
3 A
A
OEA FTOA
3 OEA 0 1 A A
2 B
B
OEB FTOB
2 OEB 0 1 B B
333
11.
16
FRT
1 A FRC FTOA OCRAMS 1
A
OLVLA A
OCRA
1 OLVLA 0 1 A A 0 1
0 B FRC FTOB
B
OLVLB B
OCRB
0 OLVLB 0 1 B B 0 1
334
11.
16
FRT
11.2.10
MSTPCR
MSTPCR
8
2
MSTP13
1 2 5.5
FRT
MST PC R
H'3F FF
MSTPCRH FRT
5
MSTP13
MSTPCRH 5 MSTP13 0 1 FRT FRT
335
11.
16
FRT
11.3
11.3.1 FRC
FRC
1
TC R C KS1 0 /2 /8 /32 3 11.3
FRC
FRC
N1
N
N
1
11.3
2
TC R C KS1 0 1. 5
11.4
336
11.
16
FRT
FRC
FRC
N
N
1
11.4
11.3.2
TOCR F TOA F TOB A OLVL 1 1.5
FRC
N
N
1
N
N
1
OCRA
N
N
A * OLVLA
A FTOA *
11.5
A
337
11.
16
FRT
11.3.3
FRC
F RC A 1 1.6
A
FRC
N
H'0000
11.6
A
11.3.4
1
TC R IED GA D IED GA D 1 11.7
11.7
IC R A D 1 11.8
338
11.
16
FRT
ICRA T1
D T2
11.8 ICRA D
2
ICRC ICRC ICRD ICRA IEDGA 1 IEDGC 0 11.9 ICRA ICRB BUFEA IEDGA 0 1 IEDGC 1
FTIA
FRC
n
n
1
N
N1
ICRA
M
n
n
N
ICRC
m
M
M
n
11.9
339
11.
16
FRT
IC R C
IC R D
IC R C
IC R A IEDGC ICFC FRC
ICIEC ICRC
IC R A 1 11.10
IC R C
IC R B
IC R D B UFE A 1
CPU ICRA T1 T2
ICRC
FTIA
11.10 ICRA ICRC
340
11.
16
FRT
11.3.5
ICRA ICRD
ICFA
IC F A D
D
1 11.11 F RC
ICFA ICFD
FRC
N
ICRA ICRD
N
11.11 ICFA
D
11.3.6
OC FA 1 B OC R A B F RC
OCFA
B
FRC
FRC
OCRA B OCFA B 11.12
FRC
N
N
1
OCRA B
N
OCFA B
11.12 OCF
341
11.
16
FRT
11.3.7
OVF F RC 11.13
OVF
H'F FF F H'0000 1
11.13 OVF
11.3.8
OCRA
OCRAR
TOC R OC R A OCRA
OCRAF
1 OC R A 11.14 OC R AR OC R AF OC R A
OC R AMS
11.14 OCRA
342
11.
16
FRT
11.3.9
ICRD
OCRDM
TOC R ICRD IC R DM 1 OC R DM H'0000
11.15 IC R D OC R DM 2 F RC 11.16
11.15
FRC
N
N
1
CRD OCRDM 2
N
11.16
343
11.
16
FRT
11.4
FRT 11.4 ICIA ICID OCIA OCIB FOVI 3 TIER 7
11.4 FRT
DTC ICIA ICIB ICIC ICID OCIA OCIB FOVI ICFA ICFB ICFC ICFD OCFA OCFB OVF
344
11.
16
FRT
11.5 FRT
50 11.17
1 2
TCSR
CCLRA
1 OLV LA B
FRC H'FFFF OCRA
OCRB
H'0000
FTOA
FTOB
11.17
345
11.
16
FRT
11.6
FRT
1
FRC
F RC FRC 11.18 F RC
FRC T1 T2
FRC
FRC
N
H'0000
11.18 FRC
346
11.
16
FRT
2
FRC
F RC
11.19
FRC T1 T2
FRC
FRC
FRC
N
M
11.19 FRC
347
11.
16
FRT
3
OCR
OCRA B OCR 11.20
OCRA
OCRAR OCRAF
OCRA OCRAR OCRAF OC R A OC R AR
OC R AF 11.21
OCR T1 T2
OCR
FRC
N
N
1
OCR
N
M
11.20 OCR
348
11.
16
FRT
OCRAR OCRAF
OCRAR OCRAF
Old Data
New Data
FRC
N
N
1
OCR
N
11.21
OCRAR/OCRAF
349
11.
16
FRT
4
FRC C KS1 0 FRC 11.5
FRC High Low
11.5
No.3
F RC FRC FRC
11.5
No. CKS1 CKS0
FRC
FRC
Low
Low
1
FRC
FRC
N
N
1
CKS
Low
High
2
FRC
FRC
N
N
1
N
2
CKS
350
11.
16
FRT
No.
CKS1
CKS0
FRC
High
Low
3
FRC
FRC
N
N
1
N
2
CKS
High
High
4
FRC
FRC
N
N
1
N
2
CKS
*
FRC
351
11.
16
FRT
352
12. 8
TMR
12
12.1 ....................................................................................................................................... 355 12.1.1 12.1.2 12.1.3 12.1.4 12.2 12.2.1 12.2.2 12.2.3 12.2.4 12.2.5 12.2.6 12.2.7 12.2.8 12.2.9 12.2.10 12.2.11 TMRX 12.2.12 12.2.13 12.3 C R S ...................................................................................................... 355 ............................................................................................ 356 ................................................................................................ 357 ......................................................................................... 358 ................................................................................................................... 359 TCNT ...................................................................... 359 A TCORA B TCORB ............................................. 360 ............................................. 361
TCR ..................................................... 362 TCSR STCR SYSCR TCONRS TICR TCORC F ............................... 365
..................................... 369
............................................. 370 ........................................... 371 TMRX TMRX .................. 371 ............... 372
TICRR TICRF
............................................................................... 372 TISR TMRY MSTPCR ........... 373 .......................... 374
................................................................................................................................. 375 12.3.1 12.3.2 12.3.3 12.3.4 12.3.5 12.3.6 TCNT OVF TCNT .................................................................. 375 ..................................................................... 376 ............................................................ 378 .................................... 378
........................................................................ 378 ..................................................................... 380
12.
8
TMR
12.4 12.5 12.6 8
............................................................................................................................. 382 .......................................................................................................... 383 .......................................................................................................................... 384 12.6.1 12.6.2 12.6.3 12.6.4 12.6.5 TCNT TCNT TCOR AB .................................................. 384 .................................................. 385 ................................................. 385 .................................................................. 386 TCNT ................................................. 387
354
12.
8
TMR
12.1
LS I TMR 1 TC NT TCNT 8 TCOR 8 2 8 AB TC OR A TC OR B 2 8 TMR 0
LS I
2
8
TMR X TMR Y TMR X TMR Y TMR 0 TMR 1
TMR X
H8S /2148
H8S /2144
HS 8/2147N
12.1.1
TMR 0
TMR 1
6
TMRX
TMRY 3
AB 2 2 PWM TMRY 2 0 16 1 0 1 TMR0 TMR1 16
TMR0 TMR1 TMRY
2
1
TMRX
1
355
12.
8
TMR
12.1.2
8 TMRX TMRY 13 TMR0 TMR1 12.1 TMRX
TMR0
TMR1
TMRX
TMRY
12.1 8
356
12.
8
TMR
12.1.3
8 12.1
12.1
* 0 TMO0 TMCI0 TMRI0 1 TMO1 TMCI1 TMRI1 X TMOX HFBACKI/TMIX (TMCIX/TMRIX) Y VSYNCI/TMIY (TMCIY/TMRIY) * XY TMO TMCI TMRI
01
357
12.
8
TMR
12.1.4
8 12.2
12.2
*3 0 0 0 A0 B0 0 1 1 1 A1 B1 1 TCR0 TCSR0 TCORA0 TCORB0 TCNT0 TCR1 TCSR1 TCORA1 TCORB1 TCNT1 STCR MSTPCRH MSTPCRL TCONRS X X X AX BX X C TCRX TCSRX TCORAX TCORBX TCNTX TCORC TICRR TICRF Y Y Y AY BY Y TCRY TCSRY TCORAY TCORBY TCNTY TISR R/W R/W R/(W) * R/W R/W R/W R/W R/(W) *2 R/W R/W R/W R/W R/W R/W R/W R/W R/(W) * R/W R/W R/W R/W R R R/W R/(W) * R/W R/W R/W R/W
2 2 2
*1 H'00 H'00 H'FF H'FF H'00 H'00 H'10 H'FF H'FF H'00 H'00 H'3F H'FF H'00 H'00 H'00 H'FF H'FF H'00 H'FF H'00 H'00 H'00 H'00 H'FF H'FF H'00 H'FE H'FFC8 H'FFCA H'FFCC H'FFCE H'FFD0 H'FFC9 H'FFCB H'FFCD H'FFCF H'FFD1 H'FFC3 H'FF86 H'FF87 H'FFFE H'FFF0 H'FFF1 H'FFF6 H'FFF7 H'FFF4 H'FFF5 H'FFF2 H'FFF3 H'FFF0 H'FFF1 H'FFF2 H'FFF3 H'FFF4 H'FFF5
*1 *2 *3 TCNT 75
16 0 01XY TCR TCSR TCORA TCORB
0 16 2 H8S /2148
1
0 8
1
X
Y TC ONR S TMR X/Y
358
12.
8
TMR
12.2
12.2.1 TCNT
TCNT0 15 0 R/W 14 0 13 0 12 0 11 0 10 0 9 0 8 0 7 0 6 0 5 0 TCNT1 4 0 3 0 2 0 1 0 0 0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
TCNTX TCNTY 7 0 R/W R/W
6 0 R/W
5 0 R/W
4 0 R/W
3 0 R/W
2 0 R/W
1 0 R/W
0 0 R/W
TCNT
8 1 16
TCNT0 TCNT1 TCNT TCR TCNT
CKS2 CKS0
TCR TCNT H'FF H'00
CCLR1 CCLR0 TCSR OVF 1
TCNT
H'00
359
12.
8
TMR
12.2.2
A TCORA
TCORA TC OR A0
8 TC OR A1 1 16
TCORA 1
TCNT TCORA T2
TCSR
CMFA
TCSR
OS1 OS0
TCORA
H'FF
360
12.
8
TMR
12.2.3
B TCORB
TCORB
8 1 16
TCORB0 TCORB1
TC OR B 1
TC NT TCOBR T2
TC SR
C MFB
TCSR OS2 TCORB H'FF
OS3
361
12.
8
TMR
12.2.4
TCR
TC R TCNT TCR
8
TC NT
H'00 12.3
7 TC SR C MFB 1 C MFB
B
CMIEB C MIB
TMRX
CMIEB
CMIB
7 CMIEB 0 1 CMFB CMFB CMIB CMIB
6 TC SR C MFA 1 C MFA
A
CMIEA C MIA
TMRX
CMIEA
CMIA
6 CMIEA 0 1 CMFA CMFA CMIA CMIA
362
12.
8
TMR
5 TCSR OVF 1 OVF
OVIE OVI
TMRX
OVIE
OVI
5 OVIE 0 1 OVF OVF OVI OVI
4 TC NT
3
1
0
CCLR1
CCLR0 AB
4 CCLR1 0
3 CCLR0 0 1 A B
1
0 1
2 S TCR
0 IC KS 0 IC KS 1
2
0
CKS2 CKS0 TC NT
6
3
TMC I 3
0
1
363
12.
8
TMR
TCR 2 CKS2 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 X 0 0 0 0 1 Y 0 0 0 0 1 1 1 1 1 CKS1 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 1 1 0 0 0 1 1 0 0 1 1 0 CKS0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1
STCR 1 0
ICKS1 ICKS0
0 1 0 1 0 1 TCNT1
8 2 64 32 1024 256 *
8 2 64 128 1024 2048 TCNT0 A *
2 4
4 256 2048
*
0 TC NT 0
TC NT 1
1
364
12.
8
TMR
12.2.5
TCSR
*
*
*
*
*
*
*
*
*
*
* *
*
*
TC SR
8 TMRX
TCSR0 TCSRX TCSRY TCSR1 H'10
H'00
365
12.
8
TMR
7 TCNT TCORB
B
CMFB
7 CMFB 0 1 CMFB 1 2 CMIB 1 TCNT TCORB CMFB DTC CMFB 0
6 TCNT TCORA
A
CMFA
6 CMFA 0 1 CMFA 1 2 CMIA 1 TCNT TCORA CMFA DTC CMFA 0
5 TCNT H'FF H'00
OVF
5 OVF 0 OVF 1 TCNT H'FF H'00 1 OVF OVF 0
366
12.
8
TMR
TCSR0 4 A/D A A/D ADTE
4 ADTE 0 1 A A A/D A/D
TCSR1 4 1
TCSRX 4 TC ONR I IC S T 1 ICF
4 ICF 0 ICF 1 1 TCONRI ICST 1 ICF ICF 0
TCSRY 4 TCSRX ICF 1 ICF ICIE ICIX
4 ICIE 0 1 ICF ICF ICIX ICIX
367
12.
8
TMR
3 TCOR
0 TCNT
3
0
OS3 OS0
OS 3
OS 2 A 1 0
B
OS 1
OS 0
OS3
OS0
0 0
3 OS3 0 OS2 0 1 1 0 1
2
B B B B 0 1
1 OS1 0 OS0 0 1 1 0 1
0
A A A A 0 1
368
12.
8
TMR
12.2.6
7 IICS 0 R/W R/W 6 IICX1 0 R/W 5 IICX0 0 R/W 4 IICE 0 R/W
STCR
3 FLSHE 0 R/W 0 R/W 2 1 ICKS1 0 R/W 0 ICKS0 0 R/W
S TCR
8 IIC TCNT STCR S TCR 1 8
II C F-ZTAT 3.2.4
STCR
H'00
7
4 A
I2C
IICS IICX1 IICX0 IICE II C 16.2.7 I2C
STCR
3
FLSHE
CPU S T CR
3.2.4
2 1
1 TC R 12.2.4
0 C KS2 C KS0 TC NT TCR
1
0
ICKS1
ICKS0
369
12.
8
TMR
12.2.7
SYSCR
1
8 S YS CR
3 .2 .2
5 .2 .1
1 8 CPU XY
HIE
1 HIE 0 8 XY CPU 1 8 XY CPU
370
12.
8
TMR
12.2.8
S TCONRS
TCONRS
8
TMRX TMRY
TCONRS
H'00
7 TMRX
TMRX/TMRY TMRY H8S /2148
TMRX/Y SYSCR TMR X HIE TMR Y TMRX/Y H8S /2147N TMR Y 0
H'FFF0
H'FFF5 H8S /2144
7 TMRX/Y 0 H'FFF0 TMRX TCRX 1 TMRY TCRY H'FFF1 TMRX TCSRX TMRY TCSRY H'FFF2 TMRX TICRR TMRY H'FFF3 TMRX TICRF TMRY H'FFF4 TMRX TCNTX TMRY H'FFF5 TMRX TCORC TMRY TISR H'FFF6 TMRX H'FFF7 TMRX
TCORAX TCORBX
TCORAY TCORBY TCNTY
12.2.9
TICR
TMRX
TIC R TICR TICR
8 CPU TICR 13
TC NT
371
12.
8
TMR
12.2.10
C TCORC
TMRX
TCORC TC OR C
8 TIC R TC NT TC OR C TICR T2
TCORC TCORC
H'FF 13
12.2.11 TMRX
RF
TICRR
TICRF
TICRR TICRF TIC R R TIC R F
8 TC ONR I IC S T TCNT ICST 0 H'00 1 1
TIC R R TIC R F
TICRR TICRF 13
12.3.6
372
12.
8
TMR
12.2.12
TISR
TMRY
TISR
8
TISR
H'FE
7
1 0
0 TMR Y
IS (I VG VS YNC I/TMIY TMC IY/TMR IY
0 IS 0 IVG H8S/2148 H8S/2144 1 VSYNCI/TMIY (TMCIY/TMRIY) H8S/2147N
373
12.
8
TMR
12.2.13
MSTPCR
MSTPCR
8
2
MSTP12
MSTP8 0 1
1 XY 25.5
8
MST PC R
H'3F FF
MSTPCRH 8
4 0 1
MSTP12
MSTCRH 4 MSTP12 0 1 8 8 01 01
MSTPCRH 8
0 XY
MSTP8
MSTCRH 0 MSTP8 0 8 XY
1
8
XY
374
12.
8
TMR
12.3
12.3.1 TCNT
TCNT
1
TC R C KS2 C KS0 12.2
12.2
2
TC R C KS2 C KS0
1. 5 2. 5
1 2.3
375
12.
8
TMR
12.3
12.3.2
1
TC SR
A B CMFA
C MFA C MFB 1 TCNT TCNT TCOR
CMFB
TC OR TC NT
12.4
12.4 CMF
376
12.
8
TMR
2
AB 0 12.5 A 1 TC SR OS 3 OS 0
12.5
3
TCNT B TCR CCLR1 CCLR0 12.6 A
12.6
377
12.
8
TMR
12.3.3
TCNT
TC NT TC R C CLR 1 C CLR 0 1. 5 12.7
12.7
12.3.4
TCSR OVF 1
OVF
TCNT H'FF H'00
12.8
12.8 OVF
12.3.5
TCR0 TCR1 8 16 1 0 CKS2 CKS0 B'100 1 8 16 2
378
12.
8
TMR
1
16
TC R0 1 C KS2 C KS0 8 B '100 1 16 0 8
a TC SR 0 C MF 16 1
TCSR1
CMF
8
1
b TC R0 C CLR 1 C CLR 0 16 TC NT0 TC NT1 16 TMR I0 TCNT0 TCNT1 16
TC R1
C CLR 1
C CLR 0
8
c TCSR0 OS3 OS0 TMO0 16
TC SR 1
OS 3
OS 0
TMO1
8
2
TCR1 CKS2 CKS0 B'100 TCNT1 0 A
0 TMO
1
CMF
3
16 TC NT0 TC NT1
379
12.
8
TMR
12.3.6
TMRX TICRF TCONRI (TICR TICRR TICRF) ICST 1 IC S T 1 TMR IX TC NT 0 TC ONR I TMR IX TIC R R TIC R F IC S T TICRR
1
12.9
TMRIX
TCNTX
n
n
1
N
N
1
TICRR
M
n
n
TICRF
m
m
N
12.9
TICRR TICRF 1 1 2.10
TICRR TICRF T1 T2
TMRIX
12.10 TICRR
380
TICRF
12.
8
TMR
2
TC ONR I (TMRIX) 12.11 13.2.1 12.3 I(TCONRI) TMR X
12.11
12.3
TCONRI 4 ICST 0 1 0 0 0 1 1 0 1 1 1 0 1 TMIX TMIX TMRI1 TMRI1 TMCI1 TMCI1 7 SIMOD1 6 SIMOD0 3 HFINV 1 HIINV
381
12.
8
TMR
12.4
8 TMR 0 TMR 1 TMR Y ICIX TCR C MIA C MIB 12.4 TCSR OVI 3 TMRX 12.6
TMR 0
TMR 1
TMR Y
C MIA C MIB
DTC
12.4 8
TMR0 TMR1
DTC
CMIA CMIB OVI
CMFA CMFB OVF
12.5 8
TMRX
DTC
ICIX
ICF
12.6 8
TMRY
DTC
CMIA CMIB OVI
CMFA CMFB OVF
382
12.
8
TMR
12.5 8
12.12
1
TC OR A 0 CCLR0
TC NT 1 1 TCSR OS3 OS0 TCORB B'0110
TC R
C CLR 1
2
TCORA
0
TC OR A
TC OR B
12.12
383
12.
8
TMR
12.6
8
12.6.1
TCNT
TC NT T2
12.13
12.13 TCNT
384
12.
8
TMR
12.6.2
TCNT
TC NT T2
12.14
12.14 TCNT
12.6.3
TCOR
TC OR T2 12.15 TMR X IC R TC OR C TC OR
385
12.
8
TMR
12.15 TCOR
12.6.4
AB
AB B A 1 2.7
12.7
1 0
386
12.
8
TMR
12.6.5
TCNT
TCNT CKS1 CKS0 TCNT 12.8 TCNT 12.8 No.3 High Low TCNT TCNT TCNT
12.8
No. CKS1 CKS0
TCNT
TCNT
1
Low
Low
*1
2
Low
High
*2
387
12.
8
TMR
No. CKS1
CKS0
TCNT
3
High
Low
*3
*
4
High
High
*1 Low *2 *3 High *4 High
Low
TCNT
388
13.
H8S/2148 H8S/2144
H8S/2147N
13
13.1 ....................................................................................................................................... 391 13.1.1 13.1.2 13.1.3 13.1.4 13.2 13.2.1 13.2.2 13.2.3 13.2.4 13.2.5 13.3 ...................................................................................................... 391 ............................................................................................ 392 ................................................................................................ 393 ......................................................................................... 394 ................................................................................................................... 395 I TCONRI O TCONRO S SEDGR TCONRS ............................................. 395 .......................................... 397 ........................................... 399
.......................................................... 401 MSTPCR .......................... 404
................................................................................................................................. 406 13.3.1 13.3.2 13.3.3 13.3.4 13.3.5 13.3.6 13.3.7 13.3.8 13.3.9 HSYNCO VSYNCO CBLANK 8 IHI IVI IHG 2fH PWM PDC CL1 CL2 ............................................................ 406 CL3 ..................................... 407
............................................................ 408 ................................................................... 410 IHI IVG .......................................... 411 CL4 ................ 413
........................................................................................ 415 ........................................................................................ 416 ........................................................................................ 417
13.
390
13.
13.1
LSI TMR 1 1 TMR X TMR Y P WM FRT 3 8
13.1.1
5
4
TMRX TMRX TMR 1
PWM
F RT
FRT
TMRY
391
13.
13.1.2
13.1
VSYNCI / FTIA / TMIY VFBACKI / FTIB
IVI IVI SET sync RES FTIA FRT 16 FRT FTOA SET RES IVO IVG IVO FRT VSYNCO / FTOA
FTIC FTID
FTIB OCRA +VR, +VF CMA(R) FTIC ICRD +1M, +2M CMA(F) FTID CM1M CM2M SET 2f H 2f H RES / flag FTOB
TMIY TMRI/TMCI 8 TMRY TMO IHG
CBLANK
IHO TMO1 TMR1 CMB TMCI 8 TMO TMR1 TMRI PDC PWM HSYNCI / TMCI1 IHI CSYNCI / TMRI1 HFBACKI / FTCI / TMIX IHI TMCI TMRI CM1C 8 ICR ICR +1C CMA CL1 CL2 CL3 CLO CLAMPO / FTIC TMRX CMB TMO CL4 CL4 HSYNCO / TMO1 TMOX
13.1
392
13.
13.1.3
13.1
13.1
VSYNCI FTIA HSYNCI TMCI1 CSYNCI TMRI1 VFBACKI FTIB HFBACKI FTCI VSYNCO FTOA HSYNCO TMO1 CLAMPO FTIC CBLANK TMIX TMIY
393
13.
13.1.4
1 3.2 SYSCR HIE 0
13.2
R/W I O S TCONRI TCONRO TCONRS SEDGR MSTPRH MSTPRL *1 *2 *3 72 10 16 0 R/W R/W R/W R/(W)* R/W R/W
2
*1 H'00 H'00 H'00 H'00* H'3F H'FF
3
H'FFFC H'FFFD H'FFFE H'FFFF H'FF86 H'FF87
394
13.
13.2
13.2.1 I TCONRI
TC ONR I
8
TCONRI
H'00
7 IHI
6 IVI
1
0
SIMOD1 SIMOD0
7 SIMOD1 0
6 SIMOD0 0 1 S G IHI HFBACKI CSYNCI HSYNCI HSYNCI IVI VFBACKI PDC PDC VSYNCI
1
0 1
5 FRT FTI TMR1 TMCI1
SCONE TMRI1
5 SCONE 0 FTIA FTIA FTIB FTIB FTIC FTIC FTID FTID TMCI1 TMCI1 TMRI1 TMRI1
1
IVI
TMO1
VFBACKI IHI
IHI
IVI
395
13.
4 TMRX TMRIX TIC R IC S T 1 IC S T 1 IHI
ICST TMRX TIC R R TIC R F
TIC R R TIC R F
TMR IX TCNT TICRR TICRF
ICST
4 ICST 0 TICRR TICRF
TMRIX 1 TICRR TICRF TMRIX
ICST 0
1
3
0 HFBACKI HSYNCI CSYNCI
HFINV VFINV HIINV
VIINV
VFBACKI VSYNCI
3 HFINV 0 1 HFBACKI HFBACKI HFBACKI HFBACKI
2 VFINV 0 1 VFBACKI VFBACKI VFBACKI VFBACKI
396
13.
1 HIINV 0 HSYNCI CSYNCI HSYNCI CSYNCI
1
HSYNCI CSYNCI
HSYNCI CSYNCI
0 VIINV 0 1 VSYNCI VSYNCI VSYNCI VSYNCI
13.2.2
O
TCONRO
TCONRO
8
TC ONR O
H'00
7
4
HOE HS YNC O CBLANK
VOE CLOE CBOE VS YNC O C LAMPO
DR
DDR
F RT TMR
P WM
FRT FRT TMR TCONRI
TMR SCONE
7 HOE 0 P44/TMO1/HIRQ1/HSYNCO P44/TMO1/HIRQ1
1
P44/TMO1/HIRQ1/HSYNCO
HSYNCO
397
13.
6 VOE 0 P61/FTOA/KIN1/CIN1/VSYNCO P61/FTOA/KIN1/CIN1
1
P61/FTOA/KIN1/CIN1/VSYNCO
VSYNCO
5 CLOE 0 P64/FTIC/KIN4/CIN4/CLAMPO P64/FTIC/KIN4/CIN4
1
P64/FTIC/KIN4/CIN4/CLAMPO
CLAMPO
4 CBOE 0 1 P27/A15/PW15/CBLANK 1 ROM A15 P27/A15/PW15
P27/A15/PW15/CBLANK 23 ROM
P27/A15/PW15/CBLANK
CBLANK
3
0 HS YNC O CBLANK
HOINV
VOINV CLOINV CBOINV VS YNC O C LAMPO
3 HOINV 0 1 IHO IHO HSYNCO HSYNCO
2 VOINV 0 1 IVO IVO VSYNCO VSYNCO
398
13.
1 CLOINV 0 CLO CL1 CL2 CL3 CL4 CLAMPO
1
CLO
CL1
CL2
CL3
CL4
CLAMPO
0 CBOINV 0 1 CBLANK CBLANK CBLANK CBLANK
13.2.3
S TCONRS
TCONRS
8
8
TMRX TMRY
TC ONR S
H'00
7 TMRX
TMRX/TMRY TMRY H8S /2148
TMRX/Y SYSCR TMR X HIE TMR Y TMRX/Y H8S /2147N TMR Y 0
H'FFF0
H'FFF5 H8S /2144
7 TMRX/Y 0 1 H'FFF0 H'FFF0 H'FFF5 H'FFF5 TMRX TMRY
399
13.
6 IH O CL4 IV O
ISGENE C LO IH G IV G
5 IHO
4
1
0
HOMOD1
HOMOD0
6 ISGENE 0
5 HOMOD1 0
4 HOMOD0 0 1 IHI IHI CL1 2fH 2fH
1
0 1
1
0
0 1
IHG
1
0 1
3 IVO
2
1
0
VOMOD1 VOMOD0
6 ISGENE 0
3 VOMOD1 0
2 VOMOD0 0 IVI IHI 1 IVI IHI
1
0
IVI IHI
1
IVI IHI
1
0
0 1
IVG
1
0 1
400
13.
1 CLO
0
1
0
CLMOD1
CLMOD0
6 ISGENE 0
1 CLMOD1 0
0 CLMOD0 0 1 CL1 CL2 CL3
1
0 1
1
0
0 1
CL4
1
0 1
13.2.4
SEDGR
*2 *1 *1 *2 *1 0 *1 *1 *1 *1
*2
S EDGR 2fH S EDGR
8 IVI IHI 6 2 0
401
13.
7 VSYNCI
VSYNCI
VEDG
7 VEDG 0 VEDG=1 1 VSYNCI VEDG VEDG 0
6 HSYNCI
HSYNCI
HEDG
6 HEDG 0 HEDG=1 1 HSYNCI HEDG HEDG 0
5 CSYNCI
CSYNCI
CEDG
5 CEDG 0 CEDG=1 1 CSYNCI CEDG CEDG 0
402
13.
4
HFBACKI
HFEDG
HFBACKI
4 HFEDG 0 HFEDG=1 1 HFBACKI HFEDG HFEDG 0
3
VFBACKI
VFEDG
VFBACKI
3 VFEDG 0 VFEDG=1 1 VFBACKI VFEDG VFEDG 0
2 IH I 2fH
PREQF IH I 2fH 13.3.4 IHI 2fH
2 PREQF 0 PREQF=1 1 IHI 2fH PREQF PREQF 0
403
13.
1 IH I
IHI
IHI IH I TC ONR I
TCONRI
IHI
1 IHI 0 1 IHI IHI Low High
0 IVI
IVI
IVI IVI TCONRI
TCONRI
IVI
0 IVI 0 1 IVI IVI Low High
13.2.5
MSTPCR
MSTPCR
8
2
MST P13
MST P12
MST P8 8
1
16 XY
25.5 MST PC R H'3F FF
404
13.
MSTPCRH FRT
5
MSTP13
MSTPCRH 5 MSTP13 0 1 FRT FRT
MSTPCRH 8
4 0 1
MSTP12
MSTCRH 4 MSTP12 0 1 8 8 01 01
MSTPCRH 8
0 XY
MSTP8
MSTCRH 0 MSTP8 0 8 XY
1
8
XY
405
13.
13.3
13.3.1 PWM PDC
TMRX 0 1 PWM
IHI TMR X TC NT TC OR B PWM B CMB TCNT IHI PDC TMR X TIC R R TIC R F IHI PWM B IHI IH I
TMRX
TCR
TCORB
13.3
13.4
13.2
13.3 TCR
7 6 5 43
CMIEB CMIEA OVIE CCLR1 CCLR0
0 0 0 11 TCNT 001 IHI
20
CKS2
CKS0
13.4 TCORB
406
13.
13.2 PWM
13.3.2
CL1
CL2
CL3
IHI
TMRX
CL1 CL4 CL1 CL1 CL2 CL3 IHI TCORC IHI High IHI TCORA
CL2
CL3
3
TMRY
CL2 CL1
IHI
CL3
TMR X
TC NT
IH I
TCORA
CL1 TC OR A H'01 H'02
TMRX 2
C L1 IHI TCORC TICR CL3
TC OR A
3
0. 5
C L2
TMRX IHI C L3 TC OR C TIC R
TICR TCNT TC OR C
IH I
IH I
C L3
TMRX 13.3 C L1
TCR 13.4
13.3
C L2
IH I
407
13.
CL3 IHI
13.3
CL1
CL2
13.4
CL3
13.3.3
8
TMR 1 TMR 1 IH I IV I IV I F RT IH I
IH I IV I TCORA TMO TMR1 TCR TCSR 1 3.5 13.5
TMR 1
TC NT
IH I
TCSR
OS
IVI
IHI IC R D(3) IC R D(2)
IH I
408
13.
13.5 TCR
TCSR
TMR1 TCR
7 6 5
CMIEB CMIEA OVIE
0 0 0 11 IVI TCNT 0 101 TCNT IHI
4 3 CCLR 10 2 0 CKS2
TMR1 TCSR
3 0 OS3 0
0011
B 512
1001 TCORBB
1
13.5 IVI
IHI
409
13.
13.3.4
IHI
2fH
FRT IHI 2
IHI FRT 2 ICRD
30 8
70 OCRDM OCRDM
OCRDM IHI 1 3 ICRD IHI
IH O
IH I
IH I IH I 2fH
IHI
FRT
TCR
13.6
2fH
13.6
13.6 TCR
TCSR TCOR
OCRDM
FRT TCR
4
IEDGD
1 FRC ICRD 8 FRC FRC
IHI
1 0 CKS1 FRT TCSR FRT TCOR FRT OCRDM 7 0 CCLRA
0
01 0
ICRDMS
1
ICRD
OCRDM
7 0 OCRDM 70
H'01 H'FF
ICRD
410
13.
IHI 2fH IHI 2fH
ICRD+OCRDM 2 ICRD+OCRDM FRC ICRD
13.6 2fH
13.3.5
IVI
TMR 1
IHI
IV I IVI IHI 8 IV I TOCRB IVI IHI TMR1 TCNT IHI IH I
IV O
IV I
IV I IVI
TMR1
TCORB
IHI TMR 1 TC OR B TC R TC SR 13.7 1 3.7 IH I
411
13.
13.7 TCORB
TCR
TCSR
TMR1 TCR
7 6 5
CMIEB CMIEA OVIE
0 0 0 11 IVI TCNT 0 101 TCNT IHI
4 3 CCLR 10 2 0 CKS2
TMR1 TCSR
3 0 OS3 0
0011
B A
1001 TCORBB
1
IHI
IHI IVI PDC IVO IHI IVO IHI IVO IHI TCNT 0 1 2 3 4 5
TCNT TCORB 3
13.7
IHI
412
13.
13.3.6
IHG
IHG
F RT IVG TMR Y
IVG
CL4
IH I IV I IHG IVG
IHG IHG CL4
IVG
F RT
OC R A
OC R AR OCRAR OCRAF IVG 1 OCRAF
OC R AF IVG 0 IVG
OCRAR
IH G
8 TC OR A
TMR Y TC NT TC OR B
TMR Y
TMRY TCORA CL4 6 TMR Y IHG 1
TMRI
IVG
IVG
1
TC OR A TC OR B TC R 13.8 IHG IVG
TC SR
F RT
OC R AR OC R AF 13.8
TC R
413
13.
13.8 OCRAR OCRAF
TOCR TCORA TCORB TCR
TCSR
TMRY TCR
7 6 5
CMIEB CMIEA OVIE
0 0 0 01 001 0110 H'3F H'03 0 01 H'7FEF H'000F IVG IHG IHG 1 8 4 0 1 256 16 FRC IVG 262144 IHG 1024 TCNT TCNT
4 3 CCLR1 0 2 0 CKS2 TMRY TCSR TMRY TMRY FRT TCR FRT FRT FRT TOCR 3 0 OS3 0 TOCRA TOCRB 1 0 CKS1 OCRAR OCRAF 6 OCRAMS 0
1
0 262016 IVG 1 128 OCRA OCRAR OCRAF
13.8 IVG
IHG
CL4
414
13.
13.3.7
HSYNCO
HSYNCO IHI HS YNC O 13.9
13.9 HSYNCO
IHI HFBACKI IHI 2fH IHI 2fH CL1 IHG S G CSYNCI IHI 2fH IHI 2fH CL1 CSYNCI CSYNCI CSYNCI HFBACKI 1 HFBACKI IHO HFBACKI IHO
IHG HSYNCI IHI 2fH IHI 2fH CL1 HSYNCI HSYNCI HSYNCI
IHG HSYNCI IHI 2fH IHI 2fH CL1 HSYNCI 1 HSYNCI HSYNCI
IHG
415
13.
13.3.8
VSYNCO
VSYNCO IVI VS YNC O 13.10
13.10 VSYNCO
IVI VFBACKI IVI IVO VFBACKI IVO
IHI IVI VFBACKI HFBACKI
IHI IVI VFBACKI
IHI IVI VFBACKI HFBACKI IHI IVG S G PDC IVI CSYNCI HSYNCI
IHI IVI CSYNCI HSYNCI CSYNCI IHI IVI HSYNCI CSYNCI HSYNCI
IHI IVI CSYNCI HSYNCI
IHI
CSYNCI HSYNCI
IVG
416
13.
IVI VSYNCI IVI
IVO VSYNCI
IVO
IHI IVI VSYNCKI HSYNCI
IHI IVI VSYNCKI
IHI IVI VSYNCKI HSYNCI IHI IVG
13.3.9
CBLANK
1 VF INV
HFBACKI
VFBACKI IV O
TCONRI
HFINV
13.9
HFBACKI VFBACKI CBLANK
IVO
13.9 CBLANK
417
13.
418
14. WDT
14
14.1 ....................................................................................................................................... 421 14.1.1 14.1.2 14.1.3 14.1.4 14.2 14.2.1 14.2.2 14.2.3 14.2.4 14.3 SYSCR ...................................................................................................... 421 ............................................................................................ 422 ................................................................................................ 424 ......................................................................................... 424 ................................................................................................................... 425 TCNT ...................................................................... 425 TCSR ............................... 425
............................................. 429
..................................................................... 429
................................................................................................................................. 431 14.3.1 14.3.2 14.3.3 14.3.4 RESO OVF .................................................... 431 ........................................................ 433 .................................... 433 ..................................................................... 434
14.4 14.5
.................................................................................................................................... 435 .......................................................................................................................... 435 14.5.1 14.5.2 14.5.3 14.5.4 14.5.5 ............................................................................................ 436 14.5.6 OVF .............................................................................. 437 RES O CKS2 CKS0 TCNT .................... 435 .............................................................. 435 .............. 436 ......................................................... 436
14.
WDT
420
14.
WDT
14.1
LS I 2 WD T0 WD T1 CPU R ESO NMI
14.1.1
WDT
WOVI NMI
RESO RESO Low WDT0 8 WDT1 16 131072 256
WDT WDT1
256
256
421
14.
WDT
14.1.2
WDT 14.1 a 14.1 b
WOVI0 NMI
2
RESO
*1 *1
2 64 128 512 2048 8192 32768 131072
TCNT
TCSR
WDT0 TCSR TCNT
*1 RESO
WDT0 Low
WDT1
TCNT WDT
*2
NMI
WDT0 WDT1 WDT0 WDT1 NMI
14.1 a
WDT0
422
14.
WDT
WOVI1 NMI *2 RESO *1 *1
2 64 128 512 2048 8192 32768 131072
SUB SUB SUB SUB SUB SUB SUB SUB
2 4 8 16 32 64 128 256
TCNT
TCSR
WDT1 TCSR TCNT
*1 RESO
WDT0 Low
WDT1
TCNT WDT
*2
NMI
WDT0 WDT1 WDT0 WDT1 NMI
14.1 b
WDT1
423
14.
WDT
14.1.3
WDT 14.1
14.1
RESO
EXCL
WDT1
14.1.4
WDT 14.2 WDT 4
14.2
R/W *2 0 0 0 1 1 1 TCNT1 SYSCR *1 *2 *3 7 16 14.2.4 0 R/W R/W H'00 H'09 H'FFEA H'FFEB TCNT0 TCSR1 R/W R/(W) * 3 H'00 H'00 H'FFA8 H'FFEA H'FFA9 H'FFEA TCSR0 R/(W) * 3 H'00 H'FFA8 H'FFA8 *1
H'FFC4
424
14.
WDT
14.2
14.2.1 TCNT
TC NT 1 TCSR
*
8
TC SR
TME TCNT
CKS2 CKS0 TC NT H'F F H'00 RST/NMI RESO WOVI
TC SR
OVF
1
WT/IT
NMI
TCNT H'00 * TCN T 1 4.2.4
TME
0
14.2.2
TCSR0
TCSR
IT * *
NMI
TCSR1
IT * *
NMI
425
14.
WDT
TCSR
*
8
TCNT
TCSR
H'00
* TCSR 1 4.2.4
7 TCNT
OVF H'FF H'00
7 OVF 0 1 TME 2 OVF 1 TCNT H'FF H'00 1 0 TCSR * OVF 0
*
OVF
OVF =1
2
6
WT/IT
TCNT NMI CPU RESO Low WO VI
6 WT/IT 0 CPU 1 CPU RESO NMI Low TCNT WOVI TCNT
426
14.
WDT
5
TME
5 TME 0 1 TCNT TCNT H'00
TCSR0
4 1
RSTS
TCSR1 WDT1
4 TCNT 2 0 CKS2 CKS0
PSS
TCSR1 4 PSS 0 1 TCNT TCNT SUB PSM PSS
3
NMI RST/NMI TC NT NMI
3 RST/NMI 0 1 NMI
2
0
2
0
CKS2 CKS0 SUB
TCNT
427
14.
WDT
WDT0
2 CKS2 CKS1 1 CKS0 20MHz 0 0 0 1 1 0 1 1 0 0 1 1 0 1 * TC NT 2 64 128 512 2048 8192 32768 131072 H' 00 25.6 819.2 1.6ms 6.6ms 26.2ms 104.9ms 419.4ms 1.68s s s 0 *
WDT1
4 PSS CKS2 2 CKS1 1 CKS0 20MHz SUB 0 0 0 0 1 1 0 1 1 0 0 1 1 0 1 1 0 0 0 1 1 0 1 1 0 0 1 1 0 1 * TC NT 2 64 128 512 2048 8192 32768 131072 SUB SUB SUB SUB SUB SUB SUB SUB H' 00 2 4 8 16 32 64 128 256 25.6 819.2 1.6ms 6.6ms 26.2ms 104.9ms 419.4ms 1.68s 15.6ms 31.3ms 62.5ms 125ms 250ms 500ms 1s 2s s s 32.768kHz 0 *
428
14.
WDT
14.2.3
SYSCR
3 5.2.1 SYSCR
3 .2 .2
3 XRST
XRST
WDT0
WDT1
XR ST
1 0
R ST/NMI
1
3 XRST 0 1
14.2.4
TC NT TC SR
1
TCNT
TCSR
TC NT TC SR
WDT0
TCNT TC NT
TCSR H'5A TC SR H'A5
14.2
TCNT
TCSR
429
14.
WDT
14.2 TCNT
TCSR
WDT0
2
TCNT
TCSR
H'FFA8 TCNT
WDT0
TC SR H'FFA9
430
14.
WDT
14.3
14.3.1
TC SR 1 H'00 TCNT TCNT NMI RST/NMI 518 1 LSI 1 4.3 RESO 132 R ESO 518 Low TCNT WT /IT TME TCNT
R ST/NMI
0 RESO High R ES S YSC R XR ST
NMI
RES R ES
WDT S YSC R XR ST 1
NMI
NMI NMI NMI
431
14.
WDT
TCNT H'FF
H'00 WT/IT 1 TME 1 TCNT H'00 OVF 1* RESO WT/IT 1 TCNT H'00 TME 1
RESO 132
518 WT/IT TME OVF * OVF 1 XRST 0 0
14.3
RST/NMI=1
432
14.
WDT
14.3.2
TCSR 1 TC NT WT/IT 0 TME 1 4.4 WO VI
IT
14.4
14.3.3
OVF
TC NT WOVI 14.5 NMI TCSR OVF 1 NMI TCNT TC SR OVF 1
14.5 OVF
433
14.
WDT
14.3.4
RESO
TCNT R ST/NMI 1 RESO 14.6 Low TCSR OVF LS I 1
TCNT
H'FF
H'00
OVF
RESO
132
518
14.6 RESO
434
14.
WDT
14.4
WO VI TC SR OVF OVF 0 1
NMI
NMI
14.5
14.5.1
TCNT TCNT
TCNT
T2 14.7
14.7 TCNT
14.5.2
CKS2
CKS0
WD T TC SR C KS2 C KS0 WDT CKS2 CKS0 TME 0
435
14.
WDT
14.5.3
WD T WD T TME 0
14.5.4
RESO
RESO R ESO LS I LSI R ES 14.8
LSI RES
RES
LSI R ESO
RESO
14.8 RESO
14.5.5
WDT1
WD T1 2 3
S BYC R S TS0
S TS2
WDT1
436
14.
WDT
14.5.6
OVF
OVF OVF = 1 TC SR OVF 0 OVF
OVF OVF OVF = 1 OVF OVF = 1
OVF = 1
2
OVF OVF=1 2
OVF
437
14.
WDT
438
15. SCI IrDA
15
15.1 ....................................................................................................................................... 441 15.1.1 15.1.2 15.1.3 15.1.4 15.2 15.2.1 15.2.2 15.2.3 15.2.4 15.2.5 15.2.6 15.2.7 15.2.8 15.2.9 15.2.10 15.2.11 15.3 BRR SMR ...................................................................................................... 441 ............................................................................................ 442 ................................................................................................ 444 ......................................................................................... 445 ................................................................................................................... 446 RSR RDR ........................................................... 446 ........................................................... 446 TSR .................................................. 447 TDR ................................................. 447
........................................................... 448 SCR ................................................. 451 ..................................................... 455
SSR
.............................................................. 459 SCMR ................................. 468 .......................... 469 .................. 470
MSTPCR
KBCOMP
................................................................................................................................. 472 15.3.1 15.3.2 15.3.3 15.3.4 15.3.5 IrDA ...................................................................................................... 472 ..................................................................... 474 ..................................................................... 485 .............................................................. 492 ............................................................................................... 501
15.
SCI IrDA
15.4 15.5
SCI
.............................................................................................................................. 504 .......................................................................................................................... 505
440
15.
SCI IrDA
15.1
LS I 3 S CI S CI S eria l C ommunica tion Inte rfa ce 2
3
SCI
1
IrDA
1.0
IrDA
15.1.1
SCI
a
Univer sal Async ronous R ec eiver /Tr ansmitte r UAR T Interface Adapter ACIA
Async ronous C ommunica tion LSI
12 7 1 8 2
1
0
R xD
b
LSI 1 8
441
15.
SCI IrDA
LSB
MSB 7 * LSB *
S CK
P86/SCK1 P 52/S C K0 H8S/2144 H8S/2148
P42/SCK2 H8S /2148
CMOS H8S /2147N CMOS H8S/2147N High NMOS
4 4
DTC
15.1.2
15.1 SCI
442
15.
SCI IrDA
15.1 SCI
443
15.
SCI IrDA
15.1.3
SCI 15.1
15.1
0 0
0
SCK0 RxD0 0 TxD0 SCK1 RxD1 1 TxD1 SCK2 RxD2 /IrRxD 2 TxD2 /IrTxD
SCI0 SCI0 SCI0 SCI1 SCI1 SCI1 SCI2 SCI2 IrDA SCI2 IrDA SCK RxD TxD
1 1
1
2 2
2
*
444
15.
SCI IrDA
15.1.4
SCI 15.2
15.2
R/W 0 0 0 0 0 0 1 1 1 1 1 1 2 2 2 2 2 2 0 SMR0 BRR0 SCR0 TDR0 SSR0 RDR0 0 SCMR0 1 SMR1 BRR1 SCR1 TDR1 SSR1 RDR1 1 SCMR1 2 SMR2 BRR2 SCR2 TDR2 SSR2 RDR2 2 SCMR2 KBCOMP MSTPCRH MSTPCRL *1 *2 *3 16 0 R/W R/W R/W R/W R/(W)* 2 R R/W R/W R/W R/W R/W R/(W)* R R/W R/W R/W R/W R/W R/(W)* R R/W R/W R/W R/W
2 2
*1 H'00 H'FF H'00 H'FF H'84 H'00 H'F2 H'00 H'FF H'00 H'FF H'84 H'00 H'F2 H'00 H'FF H'00 H'FF H'84 H'00 H'F2 H'00 H'3F H'FF H'FFD8* 3 H'FFD9* 3 H'FFDA H'FFDB H'FFDC H'FFDD H'FFDE* 3 H'FF88* 3 H'FF89* 3 H'FF8A H'FF8B H'FF8C H'FF8D H'FF8E* 3 H'FFA0* 3 H'FFA1* 3 H'FFA2 H'FFA3 H'FFA4 H'FFA5 H'FFA6* 3 H'FEE4 H'FF86 H'FF87
STCR
IICE
445
15.
SCI IrDA
15.2
15.2.1 RSR
RSR SCI RSR RxD 1 RDR CPU RSR LSB 0
15.2.2
RDR
RDR SCI 1 RSR RDR RSR
R SR
R DR
RDR R DR
CPU
H'00
446
15.
SCI IrDA
15.2.3
TSR
TSR SCI TDR TSR LSB 0 TxD
1 S SR TSR CPU TSR TDR E
TDR 1
TSR TDR
15.2.4
TDR
TDR S CI TS R TS R TDR
8 TS R TDR
TDR TDR
CPU
H'FF
447
15.
SCI IrDA
15.2.5
A
SMR
E
SMR
SCI 8
SMR S MR
CPU
H'00
7 SCI
C/A
7 C/A 0
1
6
CHR 7 C HR 8 8
6 CHR 0 8 7 7 LSB MSB * TDR MSB 7
1
*
448
15.
SCI IrDA
5
PE
PE
5 PE 0
1
* PE 1 O/ E
*
O/E
4
O/E
O/E
PE
1
O/E
4 O/E 0 *1 *2
1
*1 1
1
*2 1 1
449
15.
SCI IrDA
3
STOP 1 S TOP 2
3 STOP 0 1 2 *1 *2 1 1
1
*1
*2
2
1
STOP 2 0 1
1
2
MP
PE MP MP
O/E
15.3.3
2 MP 0
1
450
15.
SCI IrDA
1
0
1
0
CKS1 CKS0 CKS1 CKS0
4
16
64
4
15.2.8
BRR
1 CKS1 0 CKS0 0 1 1 0 1
0
4 16 64
15.2.6
SCR
SCR
SCI
SCR SCR
CPU
H'00
7 TDR TS R TXI
TIE S SR TDR E 1
7 TIE 0 TXI TXI TX I TIE 0 TD RE 1 0 *
1
*
451
15.
SCI IrDA
6 RSR RDR R XI
RIE SSR RDRF 1 ER I
6 RIE 0 * RXI ERI
1
RXI
ERI
*
RXI 1
ERI 0
RDRF RI E
FER 0
PER ORER
5 SCI
TE
5 TE 0 *1 *2 TDRE TD R 1 SSR TD RE 0
1
*1 SSR *2
TE
1
SMR
452
15.
SCI IrDA
4 SCI
RE
4 RE 0 *1 *2 0 RDRF FER PER ORER
1
*1 RE
*2
RE
1
SMR
3
MPIE MPI E SMR MP 1 MP 0 MPI E
3 MPIE 0
1 MPIE 2 MPB 1
0
1
1 ERI
* RXI SSR RDRF FER ORER
*
MPB
0 SSR MPB 1 0 1 RD RF
RSR
RDR
FE R ORE R SSR MPB 1 SCR TIE
MPIE RIE
RXI ERI FER ORER
453
15.
SCI IrDA
2 MSB TDR
TEIE TEI
2 TEIE 0 TEI TEI TEI 0 SSR TDRE TEIE * * 1 0 0 TEND
1
*
1 SCI
0
1
0
CKE1 CKE0
SCK CKE1 CKE0 SCK
C KE0
C KE1 CKE1 1
0
CKE0 CKE0 SCI
SMR
SCI
CKE1
15.3
15.9
1 CKE1 0 CKE0 0
0
SCK SCK
*1 *1 *2
1
SCK SCK
1
0
SCK SCK
*3
1
SCK SCK
*3
*1 *2 *3 454 16
15.
SCI IrDA
15.2.7
SSR
* *
*
*
*
*
S CI
8
SSR FER
CPU 1 1
TDRE RDRF ORER PER 0 TEND MPB
SSR H'84
7 TDR TS R TDR
TDRE
7 TDRE 0 1 TDRE 1 2 TXI 1 1 SCR 2 TDR TE TSR 0 TDR DTC 0 TDR
455
15.
SCI IrDA
6 RDR
RDRF
6 RDRF 0 1 RDRF 2 RXI 1 RSR SCR RDRF RD RF 1 RE RDR 0 RDR 1 DTC 0 RDR
5
ORER
5 ORER 0 ORER 1 1 RDRF * 1 SC R RE 1 0 ORE R *2 0 *1
* 2 RD R ORE R 1
456
15.
SCI IrDA
4
FER
4 FER 0 FER 1 SCI 0 * 1 SC R RE 0 *2 FE R 1 0 *1
*2 2
1
2
RDR FE R 1
RDRF
3
PER
3 PER 0 PER 1 1 SMR O/E *2 * 1 SC R RE 0 PER 1 0 *1
*2 PER 1
RD R
RD RF
457
15.
SCI IrDA
2
TEND TDR
TEND
2 TEND 0 1 TDRE 1 2 TXI 1 1 SCR 21 TE 0 TDRE 1 DTC TDRE TDR 0
1
MPB
MPB
1 MPB 0 0 1 1 * SC R RE 0 *
458
15.
SCI IrDA
0
MPBT
MPBT
0 MPBT 0 1 0 1
15.2.8
BRR
BRR
SMR
CKS1 CKS0 8
BRR B RR
CPU
H'FF
1 5.3
B RR
1 5.4
B RR
459
15.
SCI IrDA
15.3
BRR
MHz
1
bit/s n 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 0 1 N
2 % 0.03 0.16 0.16 0.16 0.16 0.16 0.16 n
2.097152 N % 0.04 0.21 0.21 0.21 0.70 1.14 2.48 2.48 n
2.4576 N % 0.26 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n N
3 % 0.03 0.16 0.16 0.16 0.16 0.16 2.34 2.34 2.34 0.00
1 141 1 103 0 207 0 103 0 0 0 51 25 12
1 148 1 108 0 217 0 108 0 0 0 0 54 26 13 6
1 174 1 127 0 255 0 127 0 0 0 0 0 63 31 15 7 3
1 212 1 155 1 77
0 155 0 0 0 0 0 0 77 38 19 9 4 2
0.00 0 1 0.00
MHz bit/s n 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 0 2 0.00 2 3.6864 N 64 % 0.70 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0 3 0.00 n 2 N 70 4 % 0.03 0.16 0.16 0.16 0.16 0.16 0.16 0.16 n 2 4.9152 N 86 % 0.31 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 1.70 0.00 n 2 2 N 88 64 5 % 0.25 0.16 0.16 0.16 0.16 0.16 1.36 1.73 1.73 0.00 1.73
1 191 1 95
1 207 1 103 0 207 0 103 0 0 0 51 25 12
1 255 1 127 0 255 0 127 0 0 0 0 0 0 63 31 15 7 4 3
1 129 1 64
0 191 0 0 0 0 0 95 47 23 11 5
0 129 0 0 0 0 0 0 64 32 15 7 4 3
460
15.
SCI IrDA
15.3
BRR
MHz
2
bit/s n 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 N
6 % 0.44 0.16 0.16 0.16 0.16 0.16 0.16 2.34 2.34 0.00 2.34 n
6.144 N % 0.08 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 2.40 0.00 0 n
7.3728 N % 0.07 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n N
8 % 0.03 0.16 0.16 0.16 0.16 0.16 0.16 0.16 0.16 0.00
2 106 2 77
2 108 2 79
2 130 2 95
2 141 2 103 1 207 1 103 0 207 0 103 0 0 0 0 51 25 12 7
1 155 1 77
1 159 1 79
1 191 1 95
0 155 0 0 0 0 0 0 77 38 19 9 5 4
0 159 0 0 0 0 0 0 79 39 19 9 5 4
0 191 0 0 0 0 95 47 23 11
5
0.00
MHz bit/s n 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 9.8304 N % 0.26 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 1.70 0.00 n N 10 % 0.25 0.16 0.16 0.16 0.16 0.16 0.16 1.36 1.73 0.00 1.73 n N 12 % 0.03 0.16 0.16 0.16 0.16 0.16 0.16 0.16 2.34 0.00 2.34 n 12.288 N % 0.08 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 2.40 0.00
2 174 2 127 1 255 1 127 0 255 0 127 0 0 0 0 0 63 31 15 9 7
2 177 2 129 2 64
2 212 2 155 2 77
2 217 2 159 2 79
1 129 1 64
1 155 1 77
1 159 1 79
0 129 0 0 0 0 0 64 32 15 9 7
0 155 0 0 0 0 0 77 38 19 11 9
0 159 0 0 0 0 0 79 39 19 11 9
461
15.
SCI IrDA
15.3
BRR
MHz
3
bit/s n 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 N
14 % 0.17 0.16 0.16 0.16 0.16 0.16 0.16 0.93 0.93 0.00 n 3
14.7456 N 64 % 0.70 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 1.70 0.00 n 3 N 70
16 % 0.03 0.16 0.16 0.16 0.16 0.16 0.16 0.16 0.16 0.00 0.16 n 3
17.2032 N 75 % 0.48 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 1.20 0.00
2 248 2 181 2 90
2 191 2 95
2 207 2 103 1 207 1 103 0 207 0 103 0 0 0 0 51 25 15 12
2 223 2 111 1 223 1 111 0 223 0 111 0 0 0 0 55 27 16 13
1 181 1 90
1 191 1 95
0 181 0 0 0 0 90 45 22 13
0 191 0 0 0 0 0 95 47 23 14 11
MHz bit/s n 110 150 300 600 1200 2400 4800 9600 19200 31250 38400 3 N 79 18 % 0.12 0.16 0.16 0.16 0.16 0.16 0.16 0.69 1.02 0.00 2.34 n 3 19.6608 N 86 % 0.31 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 1.70 0.00 n 3 3 N 88 64 20 % 0.25 0.16 0.16 0.16 0.16 0.16 0.16 0.16 1.36 0.00 1.73
2 233 2 116 1 233 1 116 0 233 0 116 0 0 0 0 58 28 17 14
2 255 2 127 1 255 1 127 0 255 0 127 0 0 0 0 63 31 19 15
2 129 2 64
1 129 1 64
0 129 0 0 0 0 64 32 19 15
462
15.
SCI IrDA
15.4
BRR
MHz
bit/s n 110 250 500 1k 2.5k 5k 10k 25k 50k 100k 250k 500k 1M 2.5M 5M 3 2 1 1 0 0 0 0 0 0 0 0
2 N 70 124 249 124 199 99 49 19 9 4 1 0* 2 2 1 1 0 0 0 0 0 0 0 0 n
4 N n
8 N n
10 N n
16 N n
20 N
249 124 249 99 199 99 39 19 9 3 1 0*
3 2 2 1 1 0 0 0 0 0 0 0
124 249 124 199 99 199 79 39 19 7 3 1 0 0* 1 1 0 0 0 0 0 0 249 124 249 99 49 24 9 4
3 3 2 2 1 1 0 0 0 0 0 0
249 124 249 99 199 99 159 79 39 15 7 3 2 1 1 0 0 0 0 0 0 0 0 124 249 124 199 99 49 19 9 4 1 0*
1%
*
463
15.
SCI IrDA
BRR
N 64
22n
1
B
106
1
N 8
22n
1
B
106
1
B N
bit/s BRR MHz 0 N 255
n n
n
0
3
n
SMR CKS1 CKS0 0 1 0 1
0 1 2 3 4 16 64
0 0 1 1
% (N+1) B
106 64 22n
1
1
}
100
464
15.
SCI IrDA
1 5.5 15.6 15.7
15.5
MHz 2 2.097152 2.4576 3 3.6864 4 4.9152 5 6 6.144 7.3728 8 9.8304 10 12 12.288 14 14.7456 16 17.2032 18 19.6608 20 62500 65536 76800 93750 115200 125000 153600 156250 187500 192000 230400 250000 307200 312500 375000 384000 437500 460800 500000 537600 562500 614400 625000 bit/s n 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 N 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
465
15.
SCI IrDA
15.6
MHz 2 2.097152 2.4576 3 3.6864 4 4.9152 5 6 6.144 7.3728 8 9.8304 10 12 12.288 14 14.7456 16 17.2032 18 19.6608 20 0.5000 0.5243 0.6144 0.7500 0.9216 1.0000 1.2288 1.2500 1.5000 1.5360 1.8432 2.0000 2.4576 2.5000 3.0000 3.0720 3.5000 3.6864 4.0000 4.3008 4.5000 4.9152 5.0000 MHz 31250 32768 38400 46875 57600 62500 76800 78125 93750 96000 115200 125000 153600 156250 187500 192000 218750 230400 250000 268800 281250 307200 312500 bit/s
466
15.
SCI IrDA
15.7
MHz 2 4 6 8 10 12 14 16 18 20 0.3333 0.6667 1.0000 1.3333 1.6667 2.0000 2.3333 2.6667 3.0000 3.3333 MHz 333333.3 666666.7 1000000.0 1333333.3 1666666.7 2000000.0 2333333.3 2666666.7 3000000.0 3333333.3 bit/s
467
15.
SCI IrDA
15.2.9
SCMR
SCMR SCMR
8
SCI
H'F2
7
4 1
3
SDIR
3 SDIR 0 TDR LSB LSB 1 TDR MSB MSB RDR RDR
2
SINV S INV S MR O/E
2 SINV 0 TDR RDR 1 TDR RDR
468
15.
SCI IrDA
1 1
0 1
SMIF
0 SMIF 0 1 SCI
15.2.10
MSTPCR
MSTPCR
8
2
MSTP7
MSTP6 SCI0 SCI1 SCI2 25.5
MSTP5
1
MST PC R
H'3F FF
7 SCI0
MSTP7
MSTPCRL 7 MSTP7 0 1 SCI0 SCI0
469
15.
SCI IrDA
6 SCI1
MSTP6
MSTPCRL 6 MSTP6 0 1 SCI1 SCI1
5 SCI2
MSTP5
MSTPCRL 5 MSTP5 0 1 SCI2 SCI2
15.2.11
KBCOMP
KB C OMP A/D KBCOMP
8
S CI 2
H'00
7 SCI2
IrDA
IrE SCI IrDA
7 IrE 0 1 TxD2/IrTxD TxD2/IrTxD RxD2/IrRxD RxD2/IrRxD TxD2 RxD2 IrTxD IrRxD
470
15.
SCI IrDA
6 Ir DA
4
IrDA
2
0 Ir TxD
IrCKS2 IrCKS0 High
6 IrCKS2 0
5 IrCKS1 0
4 IrCKS0 0 1 B 3/16 /2 /4 /8 /16 /32 /64 /128 16 3
1
0 1
1
0
0 1
1
0 1
3 20
0 A/D
471
15.
SCI IrDA
15.3
15.3.1
SCI 2
SMR SCR
15.8 CKE1 CKE0
SCI
SMR 15.9
C/A
1
7 8 1 2
SCI
SCI
16
2
8
SCI
SCI
472
15.
SCI IrDA
15.8 SMR
SMR SCI
7 C/A 0
6 CHR 0
2 MP 0
5 PE 0
3 STOP 0 1 8 1 2 1 2 7 1 2 1 2 8 1 2 7 1 2
1
0 1
1
0
0 1
1
0 1
0
1
0 1
1
0 1
1
8
15.9 SMR SCR
SMR SCR
SCI
SCI SCK
7 C/A 0
1 CKE1 0
0 CKE0 0 1 SCI SCK
1
0 1
16
1
0
0 1
1
0 1
473
15.
SCI IrDA
15.3.2
1
SCI
15.2 High SCI Low
1
Low High Low
LSB
High SCI SCI 1 16 8
1 0
LSB D0 D1 D2 D3 D4 D5 D6
MSB D7 0/1 1 1
1
1
7
8
1
1 2
1
15.2 8 2
1
15.10 12 SMR
474
15.
SCI IrDA
15.10
S STOP P MPB
475
15.
SCI IrDA
2
SCI SMR C/A SCR CKE1 CKE0 S CK 2 15.9 SCK 16 S CI
SCK 1 5.3
15.3
3
a SCI SCR SCI TE RE 0
TE 0 TDR E 1 TS R R DR TE RE 0 0
RE
R DR F P ER F ER OR ER
15.4
SCI
476
15.
SCI IrDA
15.4 SCI
477
15.
SCI IrDA
b 15.5
15.5
478
15.
SCI IrDA
SCI
1
SCI
SSR TDR
TDRE TSR
0
TDR
2
TDR
TS R
TDR E
1
S CR TXI
TIE
1
TxD a 1 b 8 c 1 1 7 LSB 0
d 1 e 1 3 SCI TDRE 0 TDR TSR TDRE 2 1
TDR E 1
1
S SR
TEND
1 S CR TEI E 1
TEI
15.6
479
15.
SCI IrDA
15.6 8 1
480
15.
SCI IrDA
c 15.7
15.7
1
481
15.
SCI IrDA
15.7
2
482
15.
SCI IrDA
SCI
1
S CI
0
2 3 SCI a 1
RSR
LSB
MSB
SMR
O/E
b 1 2 c RDRF 0 RSR RDR 1
R DR F
1
R DR
* * RDRF 0 4 RDRF 1 RXI ORER PER FER SCR RIE 1
15.11
1
1 ERI
SCR
RIE
1
483
15.
SCI IrDA
15.11
ORER
SSR
RDRF
1
RSR
RDR
FER
0
RSR
RDR
PER
SMR
RSR
RDR
15.8
15.8 SCI 8 1
484
15.
SCI IrDA
15.3.3
ID
ID ID
2
ID
1 0
1
1
ID
1
15.9
1
4
15.10
2
485
15.
SCI IrDA
15.9 A H'AA
3
a 15.10
486
15.
SCI IrDA
15.10
487
15.
SCI IrDA
SCI
1
SCI
SSR TDR
TDRE TSR
0
TDR
2
TDR
TS R
TDR E
1
S CR TXI
TIE
1
TxD a 1 b 8 c 1 d 1 e 1 3 SCI TDRE 0 TDR TSR TDRE 2 1 MPBT 7 LSB 0
TDR E 1
1
S SR
TEND
1 S CR TEI TEI E 1
15.11
SCI
488
15.
SCI IrDA
15.11 SCI 8 1
b 15.12
489
15.
SCI IrDA
15.12
1
490
15.
SCI IrDA
15.12
2
15.13
SCI
491
15.
SCI IrDA
ID1 1 0 D0 D1 D7 MPB 1 1 0 D0 D1
Data1 MPB D7 0 1 1
MPIE
RDRF RDR MPIE 0 RXI RXI RDR 1 RDRF 0 ID MPIE
ID1 RXI RDR
a
ID
ID2 1 0 D0 D1 D7 MPB 1 1 0 D0 D1
Data2 MPB D7 0 1 1
MPIE
RDRF RDR
ID1 MPIE 0 RXI RXI RDR RXI RDRF 0 ID
ID2
Data2 MPIE 1
b
ID
15.13 SCI 8 1
15.3.4
SCI
15.14
492
15.
SCI IrDA
*
*
*
15.14
1 MSB MSB SCI
LSB
MSB
1
8
2
SMR C/A SCR CKE1 CKE0 S CK SCI 15.9 2
SCK 1 High RE 0 1 8
493
15.
SCI IrDA
3
a SCI SCR SCI TE RE 0
TE R E TE 1 RE 0 TSR RDRF PER FER ORER 0 TDR E
0
RDR
15.15
SCI
1
SCR RIE TIE TEIE MPIE TE RE 0
SCR TE RE
0 2 SMR SCMR
SCR CKE1 CKE0 TE RE
0
1
3
BRR
SMR
SCMR
2 4 SCR 1 TE RIE TE RE RxD TIE RE TEIE MPIE TxD 1
BRR Wait
3
No 1 Yes SCR TE RE RIE TIE MPIE 1 TEIE 4
TE
RE
0
1
15.15 SCI
494
15.
SCI IrDA
b 15.16
15.16
495
15.
SCI IrDA
SCI
1
SCI
SSR TDR
TDRE TSR
0
TDR
2
TDR
TS R S CR TXI TIE 1
TDR E
1
SCI
8
LS B
0
MSB
7
TxD
3
SCI TDRE
MSB 0
7 TDR TSR
TDRE
TDR E
1 TxD SCR TEIE
S SR
TEND
1
MSB
7
1
TEI
4
SCK
15.17
SCI
496
15.
SCI IrDA
15.17 SCI
c 15.18
ORER
PER FER 1
0 RDRF
FER PER
497
15.
SCI IrDA
15.18
498
15.
SCI IrDA
SCI
1 2
SCI RSR SCI RDRF LSB 0 MSB RSR RDR
RDRF
1
RDR 1 5.11
3
RDRF
1 RXI OR ER 1 ERI
SCR
RIE
1
S CR
R IE
1
15.19
SCI
15.19 SCI
d 15.20
499
15.
SCI IrDA
1
1 TxD RxD
2 SSR TDRE No TDRE 1 Yes TDR SSR TDRE 2
SCI SSR 1 TDR TDRE TDRE TDRE
0 0 TXI 1
0 3 SSR ORER ORER
SSR ORER Yes 3 0 ORER
ORER
1
1
No 4 SSR RDRF No RDRF 1 1 4 SCI SSR 1 RDR RDRF RDRF RDRF
0 0 RXI
Yes RDR SSR RDRF
0
5 MSB
No 5 Yes
7 RDRF RDRF RDR 0 MSB 7 SCR TE RE 0 TDRE 1 TDR TDRE 0
TE
RE 1
0
TE
RE
TXI TDR
DTC TDRE
DTC
RXI RDR RDRF
15.20
500
15.
SCI IrDA
15.3.5
IrDA
15.21 KBCOMP Ir DA IrTxD/IrRxD 1.0 IrDA 1.0 9600bps IrDA IrE IrDA 1. 0 IrDA SCI 2 TxD2/RxD2
15.21 IrDA
1
SCI UART 15.22 0 High 1 KB C OMP 3/16 Ir CK S2 High Ir CK S0 IrDA IR
High ) 1.08 High s
1.41
s
(3/16 2.5%) 20MHz 1.6 s
(3/16 1.41 s
1
501
15.
SCI IrDA
UART
0
1
0
1
0
0
1
1
0
1
IR
0
1
0
1
0
0
1
1
0
1
15.22 IrDA
2
IR SCI High 1 0 1.41 s 1 0 Ir DA UAR T
502
15.
SCI IrDA
3
High
3/16 Ir CK S0 15.12 LS I Ir CK S2
15.12 IrCKS2 IrCKS0
(bps) (MHz) 2400 78.13 2 2.097152 2.4576 3 3.6864 4.9152 5 6 6.144 7.3728 8 9.8304 10 12 12.288 14 14.7456 16 16.9344 17.2032 18 19.6608 20 010 010 010 011 011 011 011 100 100 100 100 100 100 101 101 101 101 101 101 101 101 101 101 9600 19.53 010 010 010 011 011 011 011 100 100 100 100 100 100 101 101 101 101 101 101 101 101 101 101 19200 9.77 010 010 010 011 011 011 011 100 100 100 100 100 100 101 101 101 101 101 101 101 101 101 101 38400 4.88 010 010 010 011 011 011 011 100 100 100 100 100 100 101 101 101 101 101 101 101 101 101 101 3/16( s 57600 3.26 010 010 010 011 011 011 011 100 100 100 100 100 100 101 101 101 101 101 101 101 101 101 101 011 011 011 100 100 100 100 100 100 101 101 101 101 101 101 101 101 101 101 115200 1.63
SCI
503
15.
SCI IrDA
15.4 SCI
SCI R XI 1 5.13 TIE RIE TEIE TEI TXI ERI 4 S CR
S SR TEND DTC
TDR E 1
1 TEI
TXI TXI TDR E DTC DTC
S SR
0
TEI
SSR
RDRF
1 1
RXI ER I R DR F 0 ERI
SSR
ORER R XI DTC
P ER F ER DTC
DTC
15.13 SCI
DTC 0 ERI RXI TXI TEI 1 ERI RXI TXI TEI 2 ERI RXI TXI TEI * TEND TEND ORER FER PER RDRF TDRE TEND ORER FER PER RDRF TDRE ORER FER PER RDRF TDRE *
TEI
TEIE TEND
1 TDRE
TEND
1
TEI TDRE
TXI TEND
TXI TEI
504
15.
SCI IrDA
15.5
SCI
1
TDR
S SR
TDRE
TDR E SCI TDR TDR TS R TSR TDRE 1
TDR TDR E 0 TSR
TDRE TDR TDR TDR TDRE 1
2
SSR RSR RDR 15.14
15.14 SSR
SSR RSR RDRF 1 0 0 1 1 0 1 ORER 1 0 0 1 1 0 1 FER 0 1 0 1 0 1 1 PER 0 0 1 0 1 1 1 RDR
RSR RSR
RDR RDR
505
15.
SCI IrDA
3
FER R xD PER S CI 1 F ER 0 RxD 0 F ER
4
TxD DR DDR I/O
TE TE TxD 1
1 TxD DDR DR DR 1 0 TE
DR
0 TE I/O 0 TxD 0 TxD
5
ORER PER FER 0 1 TDRE 0
RE
0
0
6
SCI 16
SCI 8 15.23
506
15.
SCI IrDA
15.23
1
M
0.5 2N
1 L 0.5 F
D 0.5 N 1 1 F 100
M N D L F
% N 16 D0 L9 12 1.0
1
F
0 D 0.5
2
46.875%
D 0.5 M
F
0 1 100% 2 16 2
0.5 46.875%
20
30%
507
15.
SCI IrDA
7
DTC
a 5 TDR 4 15.24 DTC TDR
b DTC RXI
RDR
SCI
15.24 DTC
508
16.
I2C
IIC
H8S/2148 H8S/2144 I2C
1
H8S/2147N
ROM HD6432147SWFA
W
2
F -ZTAT
16
16.1 ....................................................................................................................................... 511 16.1.1 16.1.2 16.1.3 16.1.4 16.2 16.2.1 16.2.2 16.2.3 16.2.4 16.2.5 16.2.6 16.2.7 16.2.8 16.2.9 16.3 DDC DDCSWR
2
...................................................................................................... 511 ............................................................................................ 512 ................................................................................................ 514 ......................................................................................... 515 ................................................................................................................... 516 I2C ICDR SAR 2 IC I2C IC
2
........................................................... 516 ........................................................ 518 ............................................... 520
SARX ICMR
........................................................... 521 ICCR .................................................. 524
ICSR
..................................................... 530 STCR ..................................... 536
...................................................... 537 MSTPCR .......................... 540
................................................................................................................................. 541 16.3.1 I2C ................................................................... 541
16.
I 2C
IIC
16.3.2 16.3.3 16.3.4 16.3.5 16.3.6 16.3.7 16.3.8 16.3.9 16.3.10 16.3.11 16.4 DTC IRIC
...................................................................................... 543 ...................................................................................... 545 .................................................................................. 547 .................................................................................. 549 SCL I2C .......................................................... 551 ................. 552
..................................................................................... 553 ...................................................................................... 554 ................................................................................................... 555 .................................................................................. 558
.......................................................................................................................... 560
510
16.
I 2C
IIC
16.1
LSI I2C 2 I2C Philips I2C Inter IC Bus I2C Philips IC S CL 1
2
SDA 1
16.1.1
I2C
I 2C I 2C I 2C I 2C I 2C I 2C
Philips
I 2C 2
SCL
Low
I 2C SCL Low
3 I2C
I2C
511
16.
I 2C
IIC
16 SCL/SDA P 52/S C L0 P 97/S DA0 NMOS P 86/S C L1 NMOS I2C 0 P 42/S DA1 2 C MOS 2 NMOS
SDA SCL
VSYNCI SCL I2C
16.1.2
I2C 1 6.2 1 16.1 0
26.
512
16.
I 2C
IIC
PS SCL
ICCR
ICMR
ICSR
ICDRT SDA ICDRS ICDRR
SAR, SARX
ICCR ICMR ICSR ICDR SAR SARX PS
: : : : : : :
I2C I2C I2C I2C
16.1 I 2 C
513
16.
I 2C
IIC
VCC
VCC SCLin SCLout SDA SDA SCL SCL
SDAin SDAout
SCL SDA
SCLin LSI SCLout
SCLin SCLout
SDAin SDAout 1
SDAin SDAout 2
16.2 I 2 C
LSI
16.1.3
I2C 16.1
16.1
* 0 SCL0 SDA0 VSYNCI IIC0 IIC0 IIC0
1
SCL1 SDA1 * SCL
IIC1 IIC1 SDA
514
SCL SDA
16.
I 2C
IIC
16.1.4
I2C 16.2
16.2
R/W 0 I 2C IC I 2C I 2C
2
*1 H'01 H'00 H'FFD8 H'FFD9 H'FFDE* 2 H'00 H'00 H'01 H'01 H'00 H'FFDF* 2 H'FFDF* 2 H'FFDE* 2 H'FF88 H'FF89 H'FF8E* 2 H'00 H'00 H'01 H'00 H'0F H'3F H'FF H'FF8F* 2 H'FF8F* 2 H'FF8E* 2 H'FFC3 H'FEE6 H'FF86 H'FF87
ICCR0 ICSR0 ICDR0 ICMR0 SAR0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
2 1 I 2C I 2C I 2C I 2C
SARX0 ICCR1 ICSR1 ICDR1 ICMR1 SAR1
2
SARX1 STCR
DDC
DDCSWR MSTPCRH MSTPCRL
*1 *2 I C
2
16 I CE I CE 0 I CE 1 I 2C
I 2C ST CR IICE
515
16.
I 2C
IIC
16.2
16.2.1 I2C ICDR
ICDRR
ICDRS
ICDRT
TDRE RDRF
TDRE 0 R/W
RDRF 0
IC DR
8 IC DR ICDRS C PU 3 TDRE RDRF ICDRR ICDRT
516
16.
I 2C
IIC
IC DR T IC DR T IC DR R
TDR E IC DR S R DR F ICDRS 0
0
IC DR S
1
IC DR S
1
ICDRR
1
8 MLS 0 MLS MSB SARX ICDR ICCR ICE 1 0 MSB LSB MLS 1 MLS
LSB 1 ICDR
ICDR TDRE RDRF TDRE RDRF
TDRE 0 ICDR ICDRT
1 2 IC
2
TRS
1
ICDR
ICDRT
3 I 2C 4 TRS TRS 0 0
1
ICDR
ICDRT
1
TRS=1
I 2C
2 I 2C TRS 3 ICDRT TRS 1 1 ICDRS TDRE 0 ICDRS ICDRT ICDRS 1
4 TRS=0
TRS=0 TRS=1 1
517
16.
I 2C
IIC
RDRF 0 ICDR ICDRR
ICDR 1 ICDR ICDRR
ICDRR
ICDRS TRS 0
ICDRR RDRF 0 ICDRS ICDRR
16.2.2
SAR
SAR
8
1
7
SAR
7 S AR
ICMR SAR
ICCR
ICE
0
SAR
H'00
7 SVA6
1 SVA0 I2C
SVA6
SVA0
518
16.
I 2C
IIC
0 S ARX F SX DDC S WR
FS SW
I2C
0
FS
S AR
DDCSWR 6 SW 0
SAR 0 FS 0
SARX 0 FSX 0 I 2C SAR 1 I 2C SAR SARX SARX
1
0
I 2C SAR SARX
1 SAR 1 0 0 1 1 0 1 SARX
*
DD CSW R
I 2C
519
16.
I 2C
IIC
16.2.3
2
7 SVAX6 0 R/W R/W 6 SVAX5 0 R/W 5 SVAX4 0 R/W
SARX
4 SVAX3 0 R/W 3 SVAX2 0 R/W 2 SVAX1 0 R/W 1 SVAX0 0 R/W 0 FSX 1 R/W
SARX
8
2
1
7
SARX
7 SARX
ICDR SARX
ICCR
ICE
0
SARX
H'01
7 S VAX6
1
2 I2C
SVAX6 SVAX0
S VAX0
0 SAR FS DDCSWR
X SW
FSX
I2C
FSX SAR FS
SARX
520
16.
I 2C
IIC
16.2.4
I2C
ICMR
ICMR
8
MSB
LSB
ICMR ICCR ICE 1
SAR ICMR ICMR H'00
7 MSB
MSB
LSB LS B
MLS
1
8 MLS 1 LSB LS B MLS 1 MSB 0 MSB MLS MLS 0
I2C
1
7 MLS 0 1 MSB LSB
521
16.
I 2C
IIC
6 I2C
WAIT
WA IT 1 ICCR S CL Low IC C R IRIC IR IC WA IT 0 IC C R WAIT 1 IR IC 1 0
6 WAIT 0 1
5
3 STCR
CKS2 CKS0 IICX1 1 IICX0
CKS2 CKS0 0
STCR 56 IICX 0 CKS2 0
5 CKS1 0
4 CKS0 0 1 1 0 1
3 =5MHz /28 /40 /48 /64 /80 /100 /112 /128 /56 /80 /96 /128 /160 /200 /224 /256 179kHz 125kHz 104kHz 78.1kHz 62.5kHz 50.0kHz 44.6kHz 39.1kHz 89.3kHz 62.5kHz 52.1kHz 39.1kHz 31.3kHz 25.0kHz 22.3kHz 19.5kHz =8MHz 286kHz 200kHz 167kHz 125kHz 100kHz 80.0kHz 71.4kHz 62.5kHz 143kHz 100kHz 83.3kHz 62.5kHz 50.0kHz 40.0kHz 35.7kHz 31.3kHz =10MHz 357kHz 250kHz 208kHz 156kHz 125kHz 100kHz 89.3kHz 78.1kHz 179kHz 125kHz 104kHz 78.1kHz 62.5kHz 50.0kHz 44.6kHz 39.1kHz =16MHz 571kHz* 400kHz 333kHz 250kHz 200kHz 160kHz 143kHz 125kHz 286kHz 200kHz 167kHz 125kHz 100kHz 80.0kHz 71.4kHz 62.5kHz =20MHz 714kHz* 500kHz* 417kHz* 313kHz 250kHz 200kHz 179kHz 156kHz 357kHz 250kHz 208kHz 156kHz 125kHz 100kHz 89.3kHz 78.1kHz
1
0
0 1
1
0 1
1
0
0
0 1
1
0 1
1
0
0 1
1
0 1
*
I 2C
100k Hz
400k Hz
522
16.
I 2C
IIC
2 B C2
0 B C0
BC2 BC0 I2C FS SARX FSX 0 BC2 BC0 BC2 BC0 000 SCL Low
SAR 1
000 000
2 BC2 BC1
1 BC0
0 I 2C
0
0
0 1
8 1 2 3 4 5 6 7
9 2 3 4 5 6 7 8
1
0 1
1
0
0 1
1
0 1
523
16.
I 2C
IIC
16.2.5
I2C
7 ICE 0 R/W * R/W 6 IEIC 0 R/W 5
ICCR
4 TRS 0 R/W 3 ACKE 0 R/W 2 BBSY 0 R/W 1 IRIC 0 R/(W)* 0 SCP 1 W MST 0 R/W 0
ICCR
8
I2C
I2C
ICCR
H'01
7 ICE 1
I 2C I2C
ICE ICE S CL S DA ICE 0
ICE 0
SAR
SARX
ICE 1
ICMR
ICDR
7 ICE 0 IIC SAR 1 ICMR ICDR SARX SCL/SDA SCL/SDA
6 IEIC
I 2C I2C CPU
IEIC
6 IEIC 0 1 524
16.
I 2C
IIC
5 4 MST IC
2
MST TRS
TR S
I2C
I2C
MST TRS
FS 0 1 R/W
F SX 0
TRS
MST
TRS
5 MST 0 TRS 0 1 1 0 1
4
5 MST 0
(1) (2) I C
2
0
1
(1) (2) MST 0
1 1
(2) (2)
525
16.
I 2C
IIC
4 TRS 0
(1) (2) TRS 1 (3) I C (4) DDCSWR 1 SW
2
0 0
(3) (3)
1
0
(1) (2) TRS 0 (3) I 2C
1 1 1
(3)(4) (3)(4) R/W 1
3 AC KE I2C
ACKE
1 ACKE ACKB LSI IRIC ACKE TDRE 0 IRIC 0 IRTR 1 IRTR TDR E ACKE IR IC IRIC 1 IRTR DTC IRTR 1 ACKB 0 0 DTC 2
DTC IR TR 0 ACKE DTC 1
TDR E
IR IC
1
1
526
16.
I 2C
IIC
3 ACKE 0 1 1
2 B BS Y
BBSY I2C S CL S DA
BBSY
SCL High 1
SDA
High
Low SCL High 0
S DA
Low
High
BBSY 1
SCP
0 SCP 0
BBSY 0 MOV BBSY IC 1 SCP 0 MST 1
2
B BS Y TRS 1
2 BBSY 0
1
1 IR IC
I 2C IC IR IC
2
IRIC C PU
1 IRIC SCL IRIC IRIC IRIC 1
S AR
FS
IC MR
WA IT
16.3.6 IRIC ICCR ACKE
0
527
16.
I 2C
IIC
DTC
IRIC
CPU
1 IRIC 0 1 IRIC 2 DTC 1 ICDR RDRF 0 DTC 1 I 2C 1 1 2 WAIT=1 3 WAIT=0 WAIT=1 4 AL 5 ACKE ACKB I 2C 1 AAS AASX TDRE 2 FS 0 ADZ RDRF 1 1 ESTP 1 1 1 1 RDRF SVA SVAX 1 1 1 1 1 1 9 8 TDRE 1 0
TDRE
TDRE 3 ACKE ACKB 4 STOP 1 TDRE 2 3 DDCSWR
RDRF SW 1
1
TDRE RDRF
1
528
16.
I 2C
IIC
I2C
IRIC
1
IRIC
1
TDR E
R DR F DTC I2C SVA
IR TR IR TR
IR IC R DR F
IR TR DTC IRIC ICDR IRTR TDRE
TDR E
RDRF
16.3
16.3
MST 10 TRS BBSY ESTP STOP IRTR AASX 10 0 0 0 0 0 AL 0 AAS 0 ADZ ACKB 0 0
1 1 1 1 0 0
1 1 10 10 0 0
0 1 1 1 1 1
0 0 0 0 0 0
0 0 0 0 0 0
0 1 0 1 0 0
0 0 0 0 10 0
0 0 0 0 1 0
0 0 0 0 10 1
0 0 0 0 10 0
0 0 01 01 0 0 SAR 1
0 0 0
0 0 10
1 1 1
0 0 0
0 0 0
0 0 0
0 1 0
0 0 0
1 0 0
1 0 0
0 0 01 SARX SARX
0 0 0
10 1 10
1 1 0
0 0 10
0 0 10
1 0 0
1 1 0
0 0 0
0 0 0
0 0 0
0 1 01 SARX
529
16.
I 2C
IIC
0 SCP BBSY 1 SCP 0
SCP
BBSY 0 1
SCP
0 1
0 SCP 0 1 BBSY 1
16.2.6
I2C
7 ESTP 0 R/W * R/(W)* 6 STOP 0 R/(W)*
ICSR
5 IRTR 0 R/(W)* 0 4 AASX 0 R/(W)* 3 AL 0 R/(W)* 2 AAS 0 R/(W)* 1 ADZ 0 R/(W)* 0 ACKB 0 R/W
ICSR
8
ICSR
H'00
530
16.
I 2C
IIC
7 ESTP IC
2
ESTP
7 ESTP 0
1 ESTP 2 IRIC 1 I 2C
1 0
0
I 2C
6 STOP I2C
STOP
6 STOP 0
1 STOP 2 IRIC 1 IC
2
1 0
0
I 2C
531
16.
I 2C
IIC
5 IRTR
I 2C IC DTC
2
IRTR CPU 1 1 TDRE IRTR 0 1 IRTR RDRF IRIC 1 0 1
IRTR IRTR IRTR IRIC
5 IRTR 0
1 IRTR 2 IRIC 1
1 0
0
I 2C AASX 1 I 2C TDRE RDRF 1 TDRE RDRF 1
532
16.
I 2C
IIC
4 AAS X
2 IC SARX SVAX6 SVAX0 AASX 1
2
AASX 1 AASX 1 0
AASX
4 AASX 0 2
1 AASX 1 2 3 1 2
0
FSX
0
2
3 AL
AL
I2C S DA AL 1
AL ICDR
AL 1
0
3 AL 0
1 ICDR 2 AL 1 1 0
1
SCL
SDA
SDA
2
SCL
SCL
High
533
16.
I 2C
IIC
2 AAS S AR IC S VA6 AAS AAS ICDR S VA0 1 AAS 1
2
AAS 1 H'00
0
2 AAS 0
1 ICDR
ICDR
2 AAS 1 3 1
0
FS
0
1 ADZ IC H'00 ADZ ICDR ADZ 1
2
ADZ 1 ADZ 0 1
534
16.
I 2C
IIC
1 ADZ 0
1 ICDR
ICDR
2 ADZ 3 1
1
0
FSX
0
FS
0
0 ACKB
ACKB
ACKB
TR S 1 TR S 0
TR S
0 ACKB 0 0 0 1 1 1
535
16.
I 2C
IIC
16.2.7
7 IICS 0 R/W R/W 6 IICX1 0 R/W 5 IICX0 0 R/W 4 IICE 0 R/W
STCR
3 FLSHE 0 R/W 0 R/W 2 1 ICKS1 0 R/W 0 ICKS0 0 R/W
S TCR
8 IIC TC NT 3.2.4 S TCR IC STCR
2
II C F-ZTAT
1 STCR H'00
7
I 2C A 7 4 IC
2
I IC S S CL S DA
7 IICS 0 1 PA7 PA4 PA7 PA4
6 ICMR
5
I 2C
1
0
IICX1 0
CKS2 CKS0 1 6.2.4 I 2C ICMR
536
16.
I 2C
IIC
4 IC ICMR/SAR
2
I 2C
IICE ICCR ICSR ICDR/SARX CPU
4 IICE 0 I 2C CPU
1
I 2C
CPU
3
FLSHE
CPU S T CR
3.2.4
2 1
1 TC R 12.2.4
0 C KS2 C KS0 TC NT TCR
1
0
ICKS1
ICKS0
16.2.8
DDC
7 SWE 0 R/W *1 *2 R/W 6
DDCSWR
5 IE 0 R/W 0 1 4 IF 0 R/(W)*1 3 CLR3 1 W*2 2 CLR2 1 W*2 1 CLR1 1 W*2 0 CLR0 1 W*2 SW 0 R/W
DDCSWR
8 IIC
IIC
0
DDCSWR
H'0F
537
16.
I 2C
IIC
7 IIC
DDC 0
2
SWE IC
7 SWE 0 IIC 0 I 2C
1
IIC
0
I 2C
6 IIC
DDC 0
SW I2C
6 SW 0 IIC 0 I 2C
1 2 SWE 1 IIC 1 0 SCL
0
SW 0
1
5 IIC
DDC 0
IE CPU
5 IE 0 1
538
16.
I 2C
IIC
4 IIC
DDC 0
IF CPU
4 IF 0
IF 1 1
0
SWE
1
SCL
3
0
IIC IIC0 IIC1
3
0
CLR3
CLR0
1
IIC IIC CLR3 CLR0 BCLR MOV
3 CLR3 0 0 0 0 0 1 CLR2 0 1 1 1 1
2 CLR1
1 CLR0
0
0 0 1 1
0 1 0 1 IIC0 IIC1 IIC0, 1
539
16.
I 2C
IIC
16.2.9
MSTPCR
MSTPCR
8
2
MSTP4 MSTP3
1 24.5
IIC
MST PC R
H'3F FF
MSTPCRL IIC 0
4
MSTP4
MSTPCRL 4 MSTP4 0 1 IIC IIC 0 0
MSTPCRL IIC 1
3
MSTP3
MSTPCRL 3 MSTP3 0 1 IIC IIC 1 1
540
16.
I 2C
IIC
16.3
16.3.1 I2C
I2C I2C 16.3 a b 1 8 I2C
IIC
0
16.4
16.5 16.3 16.6 16.4
I2C
16.6
a
S 1
FS 0
SLA 7 1
FSX 0
R/W 1 A 1 DATA n A 1 m m 1 A/A 1 P 1 n 1 8
b
S 1 SLA 7 1 R/W 1
FS 0
A 1 DATA n1
FSX 0
A/A 1 m1 S 1 SLA 7 1 n1 n2 1 m2 8 1 R/W 1 A 1 DATA n2 m2 A/A 1 P 1
m1
16.3 I 2 C
I2C
IIC
DATA 8 1
0
A 1
FS 0
DATA n A 1
FSX 0
A/A 1 n m m 1 1 8
16.4
541
16.
I 2C
IIC
FS 1
S 1
FSX 1
DATA 8 1 DATA n m m 1 P 1 n 1 8
16.5 I2C
SDA
SCL 1-7 S SLA 8 R/W 9 A 1-7 DATA 8 9 A 1-7 DATA 8 9 A/A P
16.6 I 2 C 16.4 I2C
S Low SLA R/W R/W 0 R/W 1 SCL High SDA High
A
SDA
Low
DATA MSB LSB
ICMR
BC2
BC0 MLS
ICMR
P High
SCL
High
SDA
Low
542
16.
I 2C
IIC
16.3.2
I2C
ICDR
[1] IC C R
IC E STCR
1 IICX
IC MR
MLS
WA IT
C KS2
C KS0
[2] ICCR [3] ICCR [4] ICCR SDA [5] IEIC [6] ICDR IC
2
BBSY MST TRS BBSY=1 High SCP=0 Low IRIC 1 IRTR CPU +R/W SAR 1 FS 7 SARX FSX 0 1 ICCR 1 SCL High
IRIC ICDR IRIC
0
IRIC
1
16.7
ICDR
9
S DA
Low
[7] 1 1 S CL 1 Low [8] ICSR ACKB ACKB=0 AC KB =1
9
IR IC
[12]
[9] ICDR IRIC [6] ICDR IRIC
543
0
16.
I 2C
IIC
[10] 1 1 S CL 1 Low [11] ICSR ACKB ACKB=0 [9] AC KB =1
9
IRIC
[12]
[12] IRIC ICCR SDA
0 BBSY=0 Low SCP=0 High SCL High
SCL SDA
1 7
2 6
3 5
4 4
5 3
6 2
7 1
8 0 R/W
9
1 7
2 6 1
7 A
SDA 5 IRIC IRTR ICDR
+R/W
1
4 BBSY=1 SCP=0
6
ICDR
6
IRIC
9
ICDR 9 IRIC
16.7
MLS
WAIT
0
544
16.
I 2C
IIC
16.3.3
I2C
IC DR
[1] ICCR ICMR ICSR [2] IC DR
TRS WAIT ACKB
0 1 0
IRIC ICDR IRIC
0
IRIC
1
[3] 1 ICCR
8 IEIC 1
IR IC CPU
1
S CL
IR IC
Low
1 [4] IRIC 9 0
[10]
SDA
Low
[5] 1 IRTR 1
9
IR IC
[6] ICDR [7] [4] [9] 1 [8] 1 8 IR IC 1 IRIC 0 IR IC [5] [7]
S CL
IR IC
Low
545
16.
I 2C
IIC
[10] [9] IRIC 9 0 SDA Low
[5]
[9]
[10] IC S R
AC KB
1
ICCR [11] [12] 1
TRS
1 IRIC 0 9 IRIC
1 [13] ICMR WAIT ICDR IRIC IRIC 0 SDA [14] IC C R SDA B BS Y= 0 Low Low S CP =0 High S CL High WAIT=0 WAIT 0 0 IRIC 0
SCL SDA SDA
9 A
1 7
2 6
3 5
4 4
5 3
6 2
7 1
8 0 3 A
9
1 7
2 6
3 5 2
4 4
5 3
5
IRIC IRTR ICDR 6 ICDR 1 TRS=0 2 ICDR 2 IRIC 4 IRIC 1 7 IRIC 1
16.8 1
MLS=ACKB
0
WAIT=1
546
16.
I 2C
IIC
SCL SDA
8 0 8
9
1 7
2 6
3 5
4 4
5 3
6 2
7 1
8 0 8 A
9
1 7
2 6 4
5 A
5
SDA
IRIC IRTR ICDR 3
9 IRIC
6 ICDR 2
7 IRIC
9 IRIC
6 ICDR 3
7 IRIC
1 6.8 2
ML S =A CK B
0
WA IT=1
16.3.4
1
IC C R MST
IC E TRS
1
IC MR
MLS
IC C R
2
IC C R
B BS Y
1
3
1 8 ICCR TRS 9 ICCR IEIC RDRF 1 0 R DR F IC DR Low IRIC CPU RDRF 1 S CL 1 1 0 S DA Low ICCR R/W 0
4
5
IC DR 0
IC C R
IR IC
0
R DR F
4
5 S DA Low High
SCL
High IC C R
BBSY
0
547
16.
I 2C
IIC
SCL 1 SCL SDA SDA RDRF 7 6 5 4 3 2 1 R/W 0 4 A 7 6 2 3 4 5 6 7 8 9 1 2
IRIC
ICDRS
+R/W +R/W 5 ICDR 5 IRIC
ICDRR
16.9
SCL 7 SCL SDA SDA A RDRF IRIC 1 8 9 1 2
1
MLS
ACKB 0
3
4
5
6
7
8
9
1 1
0 4
7
6
5
4
3
2
1
0 4 A
ICDRS
2
ICDRR 5
1 ICDR 5 IRIC
2
16.10
2
MLS
ACKB 0
548
16.
I 2C
IIC
16.3.5
1
IC C R MST
IC E TRS
1
IC MR
MLS
IC C R
2
1 SDA IRIC C PU IC C R TR S TDR E ICDR 1 1 SCL Low 1 Low ICCR 8 IEIC 1
9 ICCR
R /W
1
3
IR IC 0
0
IC DR ICDRS
TDR E TDRE IR IC 16.11 IC DR 0
IR IC ICDR
IR TR
1
41 IRIC 1 S CL Low 1
9 TDRE IC DR 9 IC S R S DA AC KB
ICCR
Low
TDR E 0 TDRE 5 IRIC TDRE IC DR IRIC IRTR 0 0 IC DR S 1 ICDR
4
5 SDA S DA Low High ICDR H'FF SCL High IC C R
BBSY
0
549
16.
I 2C
IIC
SCL SCL SDA
8
9
1
2
3
4
5
6
7
8
9
1
2
A 2
7
6
5
4
3
2
1
0
7 2 A
6
SDA
R/W
TDRE 3 IRIC
ICDRT
1
2
ICDRS
1
2
3 IRIC
3 ICDR
3 ICDR
5 IRIC
5 ICDR
16.11
MLS
0
550
16.
I 2C
IIC
16.3.6
IRIC
IR IC SARX 1 Low FSX
SCL
IC MR WA IT SCL 1 16.12 IRIC SCL S AR TDRE FS RDRF
a WAIT 0
SCL 7
FS 0
8
FSX 0
9
I2C
1
SDA
7
8
A
1
IRIC
IRIC
ICDR ICDR
b WAIT 1
SCL 8
FS 0
FSX 0
9
I2C
1
SDA
8
A
1
IRIC
IRIC
IRIC
ICDR ICDR
c FS 1
SCL 7
FSX 1
8 1
SDA
7
8
1
IRIC
IRIC
ICDR ICDR
16.12 IRIC
SCL
551
16.
I 2C
IIC
16.3.7
DDC S WR SW
I2C
1 II C0 I2C SCL
1 2
I2C IC VSYNCI I2C
2
SDA
SCL 1 Low
3 4 ICCR TRS
SCL I2C
S CL 0 I2C 1 I2C TR S IC
2
DDC S WR
SW
DDC S WR
SW
MSL IC TR S
2
1 I2C
0
SW
1
TRS SCL
0 I2C
552
16.
I 2C
IIC
16.3.8
DTC
I2C R/W
DTC
C PU
1 6.5
DTC
16.5 DTC
DTC R/W ICDR
CPU ICDR CPU ICDR
CPU ICDR
CPU ICDR
DTC ICDR H 'FF
DTC ICDR
DTC ICDR DTC ICDR
DTC ICDR
CPU ICDR 1 CPU 2 CPU DTC 1 R/W 1 1 H'FF 1 H'FF
CPU ICDR
553
16.
I 2C
IIC
16.3.9
S CL 16.13 2 SCL 2 SDA S DA
SCL D SDA
C Q D
C Q SCL SDA
16.13
554
16.
I 2C
IIC
16.3.10
I2C 16.17 1 6.14
1 ICCR No BBSY 2 BBSY 0 Yes MST 1 TRS ICCR BBSY 1 ICCR ICCR No IRIC 5 IRIC 1 Yes ICDR ICCR ICCR No IRIC IRIC IRIC 1 Yes ICSR ACKB 8 ACKB 0 Yes No Yes ICDR ICCR ICCR No IRIC IRIC
IRIC 1
1 0
3 4
SCP
6
+R/W
7
No
9
10
Yes ICSR No
ACKB 1
ACKB
11
Yes ICCR IRIC SCP 0 12
BBSY 0 ICCR
16.14
555
16.
I 2C
IIC
TRS WAIT
0
ICCR ICMR ICSR
1
ACKB 0
ICDR ICCR IRIC ICCR IRIC No
IRIC 1
2
3
Yes Yes No ICCR IRIC 4
ICCR IRIC No 5
IRIC 1
Yes ICDR ICCR IRIC ICCR IRIC No
IRIC 1
6 7
8
Yes Yes No ICCR IRIC 9
ACKB 1
ICSR
10
TRS 1
ICCR 11
ICCR IRIC ICCR IRIC No
IRIC 1
12
Yes
WAIT 0 ICMR
13
ICDR ICCR IRIC BBSY 0 ICCR SCP 0 14
16.15
556
16.
I 2C
IIC
MST 0 TRS 0 ICCR ACKB 0 ICCR IRIC No IRIC 1 Yes ICSR AAS ADZ AAS 1 ADZ 0 Yes ICCR TRS TRS 0 Yes Yes No ICDR ICCR IRIC ICCR IRIC No No No ICSR
1
2
3
1 4 1 Yes 2 3 4 1 1
IRIC
ACKB 0 ICDR ICCR IRIC ICCR IRIC No
ICSR
5 6 5 6 7 7 8
IRIC
1 Yes 8
ICDR ICCR IRIC
16.16
557
16.
I 2C
IIC
1 ICCR IRIC ICDR ICCR IRIC ICCR IRIC No 2 IRIC 1 Yes ICSR ACKB No
ACKB 1
2 1
2 1 3 4 5
SCL
3
Yes TRS 0 ICCR 4 5
ICDR ICCR IRIC
16.17
16.3.11
II C II C
1
DDC S WR
C LR3 C LR0 CLR3 CLR0
2
IC E
16.2.8 DDC
DDCSWR
1
TDRE RDRF
S CL S DA
ICDR SAR SARX ICMR ICCR ICSR DDCSWR STCR ICMR ICCR ICSR DDCSWR
ICMR
BC2 BC0
558
16.
I 2C
IIC
2
DDCSWR II C CLR0
CLR3 CLR0 MOV BCLR C LR3
IIC S CL S DA
BBSY SDA BBSY
SCL
II C
1 2
CLR3 CLR0 B BS Y 0 0 2
ICE B BS Y 0 S CP
3 4
CLR3 CLR0 IIC
ICE
559
16.
I 2C
IIC
16.4
1
SCL SDA
Low B BS Y= 0
SCL
Low
2
2
IC DR
a IC E 1
TR S 1
IC DR
IC DR T IC DR S
b
ICE 1
TRS 0
ICDR
ICDRS ICDRR
3
S CL S DA
1 6.6
16.6 I2C
SCL SCL SCL SDA High Low
SCL SDA
t SCLO t SCLHO t SCLLO t BUFO t STAHO t STASO t STOSO t SDASO 28t cyc 256t cyc ns ns ns ns ns ns ns ns ns ns 26.28
0.5tSCLO 0.5tSCLO 0.5tSCLO 0.5tSCLO 1t SCLO 0.5tSCLO +2tcyc 1t SCLLO 3t cyc 1t SCLL (6tcyc 12t cyc *) 3t cyc 1t cyc 1t cyc
t SDAHO * IICX 0 6t cyc 1 12t cyc
4
S CL S DA 26 tcyc IC
2
AC IC 5MHz AC
2
560
16.
I 2C
IIC
5
SCL 300ns SCL tSr Low
tSr
I2C IC
2
1000ns
SCL VIH S CL SCL 16.7 High IC S CL
2
16.7 SCL
IICX t cyc
tSr
[ns] I 2C (max.) = 5MHz = 8MHz 937 = 10MHz 750 = 16MHz 468 = 20MHz 375
0
7.5tcyc
1000 300
1
17.5tcyc
1000 300
875
6 SCL SDA 300ns 1 6.6 tc yc
I2C I2C
1000ns SCL SDA
I2C 16.8
tBUF O (a )
I2C 1 (b) I C
2
s
tSCL L O IC
2
tST AS O
tSr
tSf (a ) (b) (c )I2C
561
16.
I 2C
IIC
16.8 I2C
t cyc
tSr/t Sf
[ns] t Sr t Sf I C
2
= 5MHz
= 8MHz
=
=
=
10MHz 16MHz 20MHz
(max.) t SCLHO 0.5tSCLO ( -tSr ) t SCLLO 0.5tSCLO ( -tSf ) t BUFO 0.5tSCLO -1tcyc ( -tSr ) t STAHO 0.5tSCLO -1tcyc ( -tSf ) t STASO 1t SCLO ( -tSr ) t STOSO 0.5tSCLO +2tcyc ( -tSr ) t SDASO 1t SCLLO* 3-3tcyc ( -tSr ) t SDASO
1tSCLL* 3-12tcyc* 2
(min.) 4000 600 4700 1300 4700 1300 4000 600 4700 600 4000 600 250 100 250 100 0 0 4000 950 4750 1000*
1
-1000 -300 -250 -250 -1000 -300 -250 -250 -1000 -300 -1000 -300 -1000 -300 -1000 -300 0 0
4000 950 4750 1000*
1
4000 950 4750 1000*
1
4000 950 4750 1000*
1
4000 950 4750 1000* 1
3800* 1 3875* 1 3900* 1 3938* 1 3950* 1 750* 1 4550 800 9000 2200 4400 1350 3100 400 1300 -1400* 600 600
1
825* 1 4625 875 9000 2200 4250 1200 3325 625 2200 -500* 375 375
1
850* 1 4650 900 9000 2200 4200 1150 3400 700 2500 -200* 300 300
1
888* 1 4688 938 9000 2200 4125 1075 3513 813 2950 250 188 188
900* 1 4700 950 9000 2200 4100 1050 3550 850 3100 400 150 150
( -tSr ) t SDAHO 3t cyc
*1 I 2C 1 3 4 I ICX CK S2 CK S0 2
I 2C *2 IICX * 3 I 2C 1 IICX 4700ns min. 0 t SCLL -6tcyc 1300ns min.
562
16.
I 2C
IIC
7
ICDR TR S ICCR BBSY 0 Low IC DR ICDRS 2 2 0 IC C R B BS Y TRS 0 0 ICDR ICCR BBSY 0 SCP 0 TR S ICDR SCP 0 High SCL 1 High
S DA
ICDR
MST II C BBSY 0
a SDA SCL 8 0 A 9
(a )
IC C R
BBSY
ICDR
BBSY 0 SCP 0
BBSY
0
16.18
563
16.
I 2C
IIC
8 1 6.19 IC DR
ICDR
1 IRIC 1 No 1 2 3 No Yes SCL SCL Low Yes BBSY 1 ICSR SCP 0 3 No 2 4 5
1 SCL Low
Yes ICSR IRIC
R/W
3
5
IRIC Yes ICDR
1
No
4
5
SCL
9
SDA
ACK
bit7
IRIC 3 1 IRIC 2 SCL Low 4 IRIC 5 ICDR
16.19
564
16.
I 2C
IIC
9
I2C SCL S CL 9 Low SCL Low 9
SCL
9 VIH
High
SCL SDA
Low
IRIC 1 SCL Low 2
16.20
565
16.
I 2C
IIC
566
17.
H8S/2148 H8S/2144
H8S/2147N
17
17.1 ....................................................................................................................................... 569 17.1.1 17.1.2 17.1.3 17.1.4 17.2 17.2.1 17.2.2 17.2.3 17.2.4 17.3 ...................................................................................................... 569 ............................................................................................ 570 ................................................................................................ 571 ......................................................................................... 571 ................................................................................................................... 572 H KBCRH ...................................... 572
L KBCRL ....................................... 575 KBBR ........................................ 576 MSTPCR .......................... 577
................................................................................................................................. 578 17.3.1 17.3.2 17.3.3 17.3.4 17.3.5 17.3.6 17.3.7 17.3.8 17.3.9 KCLK KCLKI KDI ................................................................................................ 578 ................................................................................................ 579 ......................................................................................... 582 .............................................................. 584 ............................................................ 584 KCLK ....................................................... 585
KCLKO KDO KBF
...................................................................................... 586 ............................................................... 587 ......................................................................................... 588
17.
568
17.
17.1
LS I 3 PS/2 KD 0 1 2
17.1
17.1.1
PS/2 KCLK KD
Vcc
Vcc
KCLK in KCLK out
KCLK in KCLK out
KD in KD out
KD in KD out
LSI
I/F
17.1
569
17.
17.1.2
17.2
KBBR
KD KDI KCLK KCLKI KBCRH
KDO KCLKO KBCRL
KBI
KD KCLK KBBR KBCRH KBCRL
KBC KBC H L
17.2
570
17.
17.1.3
17.1
17.1
* 0 KBC KBC 1 KBC KBC 2 KBC KBC * KD KCLK0 KD0 KCLK1 KD1 KCLK2 KD2 PS2AC PS2AD PS2BC PS2BD PS2CC PS2CD KBC KBC KBC KBC KBC KBC KC LK
17.1.4
17.2
17.2
R/W 0 H L KBCRH0 KBCRL0 KBBR0 1 H L KBCRH1 KBCRL1 KBBR1 2 H L KBCRH2 KBCRL2 KBBR2 MSTPCRH MSTPCRL * * 2 16 1 0 R/(W)* R/W R R/(W)* R/W R R/(W)* R/W R R/W R/W H'70 H'70 H'00 H'70 H'70 H'00 H'70 H'70 H'00 H'3F H'FF H'FED8 H'FED9 H'FEDA H'FEDC H'FEDD H'FEDE H'FEE0 H'FEE1 H'FEE2 H'FF86 H'FF87 *
571
17.
17.2
17.2.1
7 KBIOE 0 R/W * R/W 6 KCLKI 1 R 5 KDI 1 R 0
H KBCRH
4 KBFSEL 1 R/W 3 KBIE 0 R/W 2 KBF 0 R/(W)* 1 PER 0 R/(W)* 0 KBS 0 R
KB C RH
8
KB C RH H'70 6 5 2 0 KBIOE 0
7 KB IOE KBIOE KCLK KD 1
KBIOE
KBIOE
0
7 KBIOE 0 1 KCLK KD KCLK KD
6 KCLK
KCLKI
6 KCLKI 0 1 KCLK KCLK Low High
572
17.
5 KDI
KDI
5 KDI 0 1 KD KD Low High
4 KBFSEL KCLK KBCRL KBE KBF
KBFSEL
KBFSEL=0 0
4 KBFSEL 0 1 KBF KBF KCLK
3 KBIE
KBIE CPU
3 KBIE 0 1
573
17.
2
KBF KBBR
2 KBF 0 KBF 1 KBFSEL 1 KBBR 1 0
KBFSEL
0
KCLK
KCLK
1
PER
1 PER 0 PER 1 1 0
0
KBS KBF 1
0 KBS 0 1 0 1
574
17.
17.2.2
L
KBCRL
KB C RL
8
KB C RL H'70
7
KBE KBBR
7 KBE 0 1 KBBR KBBR
6 KBC
KCLKO
6 KCLKO 0 1 Low High
5 KBC
KDO
5 KDO 0 1 Low High
575
17.
4 1
3
0
RXCR3
RXCR0
KCLK
KB E 0000
0
0000
3 RXCR3 0
2 RXCR2 0
1 RXCR1 0
0 RXCR0 0 1
1
0 1
KB0 KB1 KB2 KB3 KB4 KB5 KB6 KB7
1
0
0 1
1
0 1
1
0
0
0 1
1
0 1
1
17.2.3
KBBR
KBBR KBBR KBBR
8 KBF 1
KB IOE H'00
0
576
17.
17.2.4
MSTPCRH 7 0 R/W 6 0 5 1 4 1 3 1 2 1 1 1 0 1 7 1
MSTPCR
MSTPCRL 6 1 5 1 4 1 3 1 2 1 1 1 0 1
MSTP15 MSTP14 MSTP13 MSTP12 MSTP11 MSTP10 MSTP9 MSTP8 MSTP7 MSTP6 MSTP5 MSTP4 MSTP3 MSTP2 MSTP1 MSTP0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
MSTPCR
8
2
MST P2
1 24.5
MSTPCR
H'3FFF
MSTPCRL
2
MSTP2
MSTPCRL 2 MSTP2 0 1
577
17.
17.3
17.3.1
KCLK KD KD KD 1 7.3 8 KCLK LSB Low 1 7.4
KBIOE KBCRH KCLKI KDI 1 Yes KBE 3 No
1 2
1
KBCRH
KBIOE
1
2
KBCRH 1
KCLKI KDI KBE
3 KCLK
4 KBF 1 Yes PER 0 No Yes KBS 1 No Yes KBBR 6 KBCRL igh 5 3 6 KBF KCLK 5 KCLK Low I/O KBCRH KBIE CPU
No
4
1
KBF
6
17.3
578
17.
KCLK KD KCLK KCLK KB7 KB0
1
2 0
3 1
9 7
10
11
I/O KB0 KB1
PER KBS KBF
12
3
45
6
17.4
17.3.2
KCLK KD KD 17.5 KD 8 KCLK LS B High 17.6
579
17.
KBIOE KBCRH
KBCRH
KBIOE
KBCRH KCLKI KDI 1 Yes I/O KCLKO KBE 0 KBBR 0 KBE KDO 1 KDO No I/O
KCLKI KDI KCLKO
KBBR
KCLKO KCLKO 0 KBCRH KDO KCLKO i0 KBCRL KBCRH KCLKI 0 Yes KDO D(i) KBCRH KCLKI 1 No Yes ii1 i9 Yes KBCRH KCLKI 1 No Yes i07 i8 i9 2 8 No KBCRH KDI 0 1 KDO 0 KDO KCLKI
I/O
KDO 0
LSB
KCLKI KDI
No
17.5 1
580
17.
1
KBCRH KCLKI 0 No Yes KDI * 0 Yes 8 KBCRH KCLK 1 No Yes No 7 2
KCLK
High KD High
*
KCLKI Low
KBE 1 KBBR
17.5 2
KCLK KD
1 0
2 1
8 7
9
10
11
KCLK KD KCLK KD
I/O
0
1
7
1
23
45
6
7
8
17.6
581
17.
17.3.3
Low High Low
Low
17.7
17.8
1
KBCRL 1
KBF
1
KBCRL KBF 0 No Yes KBCRH RXCR3 0 B'1001 Yes 3 KCLKO 0 1 1
2
KBCRH B'1001 0 RXCR3 RXCR0
RXCR3
0 KCLKO
B'1001
No 2
3
RXCR3
RXCR0 PS2
B'1001
KBF
No Yes KBE 0 KBBR KBE 0 KBBR
KDO I/O KCLKO
0
KBE 1 KB I/O 1 KCLKO 1
17.7 1
582
17.
1 KCLK I/O Low
KBF KCLK
17.7 2
KCLK KD KCLK KCLK KD KD
17.8
583
17.
17.3.4
KCLKI KDI
KCLKI KDI 17.9
T1
T2
*
KCLK
KD
KCLKI
KDI
*
1/N
17.9 KCLKI
KDI
17.3.5
KCLKO KDO
KCLKO KDO KCLK KD 17.10
T1
T2
*
KCLKO KDO
KCLK KD
*
1/N
17.10 KCLKO
KDO
584
17.
17.3.6
KBF
KBF
KCLK
KCLK 17.11
*
KCLK
11
KCLK
RXCR3 RXCR0 KBF KCLK
H'010
H'000
I/O
*
1/N
17.11 KBF
KCLK
I/O
585
17.
17.3.7
17.12
*
KCLK
KD
KCLK KCLKI
RXCR3 RXCR0 KD KDI KBBR7 KBBR0
N
N
1
N2
*
1/N
17.12
KBBR
586
17.
17.3.8
KCLK
KB C RH KCLK 17.13 KB FS EL 0 KB C RL KB F
17.13 KCLK
587
17.
17.3.9
KBIOE 0 KB IOE KCLK KBFSEL 17.14 KBIOE 0 KCLK KBE 0 KBF KCLK 1 KD 1 KC LK Low
17.14 KBIOE
KCLK
588
18.
H8S/2148 H8S/2144 H8S/2147N
18
18.1 ....................................................................................................................................... 591 18.1.1 18.1.2 18.1.3 18.1.4 18.2 18.2.1 18.2.2 18.2.3 18.2.4 18.2.5 18.2.6 18.2.7 18.3 1 IDR 2 ...................................................................................................... 591 ............................................................................................ 592 ................................................................................................ 593 ......................................................................................... 594 ................................................................................................................... 595 SYSCR ............................................. 595 ......................................... 596 HICR ............................ 598
SYSCR2
................................................................... 599 ............................................................... 599 .................................................................. 600 MSTPCR .......................... 602
ODR STR
................................................................................................................................. 603 18.3.1 18.3.2 18.3.3 18.3.4 GATE .................................................................. 603 .................................................................................. 605 A20 ........................................................................................... 606 ....................................... 608
18.4
............................................................................................................................. 610 18.4.1 18.4.2 IBF1 IBF2 IBF3 IBF4 ....................................................................... 610
HIRQ11 HIRQ1 HIRQ12 HIRQ3 HIRQ4............................................ 610
18.5
.......................................................................................................................... 612
18.
590
18.
18.1
LSI HIF HIF LS I CP U Host InterFace 4 ISA
HIF
S YS C R 2
HI12E
1 LS I
18.1.1
HIF
HIF
8
4 GATE A20 7 CS 1 6 GA20 CS 2 HIR Q1 EC S 2 HIR Q11
2
CS 3 CS 4 HIR Q12
HA0 HIR Q3
IOR
IOW
HIR Q4 HDB 0 4 1 CS 1 CS 2 EC S 2 CS 3 CS 4
HDB 7
591
18.
18.1.2
HIF 18.1
IBF4 IBF3 IBF2 IBF1 CS1 CS2/ECS2 CS3 CS4 IOR IOW HA0
HDB7 HDB0 IDR1 ODR1 STR1 IDR2 ODR2 STR2 HICR
GATE A20
IDR3 ODR3 STR3
HIRQ1 HIRQ11 HIRQ12 HIRQ3 HIRQ4 GA20 HIFSD
IDR4
4 B 8
ODR4 STR4 HICR2
IDR1 IDR2 ODR1 ODR2 STR1 STR2 HICR
1 2 1 2 1 2 1
IDR3 IDR4 ODR3 ODR4 STR3 STR4 HICR2
3 4 3 4 3 4 2
18.1 HIF
592
18.
18.1.3
HIF 18.1
18.1
I/O I/O
IOR IOW 1 CS1
P93 P94 P95 IDR1 ODR1 STR1
2* CS2 ECS2 3 CS3
P81 P90 PB2
IDR2 ODR2 STR2
IDR3 ODR3 STR3
4 CS4
PB3
IDR4 ODR4 STR4
HA0
P80
STR1 STR4 ODR4
ODR1
IDR1 IDR3 IDTR4
HDB7 HDB0 11 1 12 3 4 GATE A 20 HIF HIRQ11 HIRQ1 HIRQ12 HIRQ3 HIRQ4 GA20 HIFSD
P37 P30
P43 P44 P45 PB0 PB1 P81 P82 GATE A20
11 1 12 3 4
*
ST CR
CS 2E
HI CR HIF
FGA 20E 2 CS2 CS2
CS 2 CS2E=1 FGA20E=1
EC S2
CS2E=1
FGA20E=0 CS2
ECS2
593
18.
18.1.4
HIF 18.2 HIF SYSCR HIE HICR IDR1 IDR2 1 ODR1 ODR2 STR1 STR2
18.2
R/W *4 * 3 CS1 CS2 CS3 CS4 HA0 SYSCR
1 R/W*
H'09
H'FFC4
SYSCR2 2 HICR 1 HICR2 2 1 1 1 2 2 2 3 3 3 4 4 4 IDR1 ODR1 STR1 IDR2 ODR2 STR2 IDR3 ODR3 STR3 IDR4 ODR4 STR4 MSTPCRH MSTPCRL *1 *2 *3 53
R/W
H'00
H'FF83
R/W
H'F8
H'FFF0
R/W
H'F8
H'FE80
R R/W R/(W) * R R/W R/(W) * R R/W R/(W) * R R/W R/(W) * R/W R/W
2 2 2 2
W R R W R R W R R W R R H'00 H'3F H'FF H'00 H'00 H'00
H'FFF4 H'FFF5 H'FFF6 H'FFFC H'FFFD H'FFFE H'FE84 H'FE85 H'FE86 H'FE8C H'FE8D H'FE8E H'FF86 H'FF87
0 0 0 1 1 1 1 1 1 1 1 1
1 1 1 0 0 0 1 1 1 1 1 1
1 1 1 1 1 1 0 0 0 1 1 1
1 1 1 1 1 1 1 1 1 0 0 0
0/1 * 0 1 0/1 * 0 1 0/1 * 0 1 0/1 * 0 1
5
5
5
5
742 16
*4 *5 HA0
594
18.
18.2
18.2.1 SYSCR
SYSCR HIF 1 HIE SYSCR
8 HICR
LSI IDR1 ODR1 STR1 IDR2 ODR2 STR2 HIE
HICR2 IDR3 ODR3 STR3 IDR4 ODR4 STR4 CS2 ECS2 CS2E HICR FGA20E 3.2.2 SYSCR SYSCR SYSCR 5.2.1
SYSCR
H'09
7 HICR
CS2 FGA20E
CS2E CS2
SYSCR 7 CS2E 0
HICR 0 FGA20E 0 1 CS2 CS2 High
1
0 1
P81/ CS2 P90/ ECS2
CS2 CS2
1 CPU LSI STR2
HIE
HICR IDR1 ODR1 STR1 IDR2 ODR2
595
18.
1 HIE 0 HIF HICR IDR1 ODR1 STR1 IDR2 ODR2 STR2 CPU
1
HIF
HICR
IDR1 ODR1 STR1 IDR2 ODR2 STR2
CPU
18.2.2
7 KWUL1 0 R/W R/W 6 KWUL0 0 R/W 5
2
P6PUE 0 R/W
SYSCR2
4 0 3 SDE 0 R/W 2 CS4E 0 R/W 1 CS3E 0 R/W 0 HI12E 0 R/W
SYSCR2
8 SYSCR2 HI12E
LSI
CS3E
CS4E
4
SYSCR2
H'00
7 6 I/O
6
1
0
KWUL1 KWUL0 8
5 KMPCR
6
MOS 6
P6PUE MOS
8
I/O
4 1
3
SDE
HIFSD
596
18.
3 SDE 0 1
2
CS4
CS4E 4 4
2 CS4E 0 1 4 4
1
CS3
CS3E 3 3
1 CS3E 0 1 3 3
0
HI12E
0 HI12E 0 1
597
18.
18.2.3
HICR
HICR
HICR2
7 1 R/W R/W 6 1 5 1 4 1 3 1 2 IBFIE4 0 R/W 1 IBFIE3 0 R/W 0 0
HICR 1 2
8 GATE A20 HICR2 HICR2 34 8 H'F8
HICR
7
3 1
HICR HICR2 CPU
HICR2 2 IBFIE4 -- -- -- -- -- -- 0 1 HICR2 1 IBFIE3 -- -- -- -- 0 1 -- --
2
1 21 IBF1 IBF2 IBF3 IBF4
2 1 IBFIE2 IBFIE1 4 3 IBFIE4 IBFIE3
HICR 2 IBFIE2 -- -- 0 1 -- -- -- --
HICR 1 IBFIE1 0 1 -- -- -- -- -- -- IDR1 IDR1 IDR2 IDR2 IDR3 IDR3 IDR4 IDR4
598
18.
HICR
0 GATE A20
GATE A20
FGA20E GATE A20
GATE A20
P81
HICR 0 FGA20E 0 1 GATE A20 GATE A20
HICR2
0 1
18.2.4
IDR
IDR
8 CSn n=1 IDRn 4 Low HA0 IOW
8
STRn
C/D
IDR
18.2.5
1
ODR
ODR
8 HA0 Low ODRn CSn n=1 4 Low
8
IOR
Low
ODR
599
18.
18.2.6
7 DBU 0 R/W R/W R/W R
STR
6 DBU 0 R/W R * 5 DBU 0 R/W R 4 DBU 0 R/W R 0 3 C/D 0 R R 2 DBU 0 R/W R 1 IBF 0 R R 0 OBF 0 R/(W)* R
STRn n=1 3 STR
4 1 0
8
H'00
7
4
2
DBU
3 IDR
C/D HA0 IDR
3 C/D 0 1 IDR IDR
1 IDR 1 GATE A20 18.8 1 IDR
IBF
IBF IBF
0
1 IBF 0 IDR 1 IDR
600
18.
0 ODR OBF 0 1
OBF ODR
0 OBF 0 ODR 1 ODR OBF 0
18.3
STR
18.3 STR
C/D
HA0 IOW
High
HA0 IOW IDR
Low
IBF*
IDR IOW
RD ODR WR IOR I BF GATE A20
OBF
ODR
*
GAT E A20 18.8
601
18.
18.2.7
MSTPCRH 7 0 R/W 6 0 5 1 4 1 3 1 2 1 1 1 0 1 7 1
MSTPCR
MSTPCRL 6 1 5 1 4 1 3 1 2 1 1 1 0 1
MSTP15 MSTP14 MSTP13 MSTP12 MSTP11 MSTP10 MSTP9 MSTP8 MSTP7 MSTP6 MSTP5 MSTP4 MSTP3 MSTP2 MSTP1 MSTP0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
MSTPCR
8
2
MSTP2
1 25.5
HIF
MSTPCR
H'3FFF
MSTPCRL HIF
2
MSTP2
MSTPCRL 2 MSTP2 0 1 HIF HIF
602
18.
18.3
18.3.1
SYSCR2 HIF I/O 4 CS4E 1 34 B 18.4 HIF HIF I/O 4 3 HI12E HIF 8 9 CS3E 0 1
603
18.
18.4
HI12E CS2E CS3E CS4E 0 1 -- 0 -- 0 -- 0 24 CS2 ECS2 CS3 CS4 P43 P81 1
P90 PB0 PB3 1 23 CS2 PB0 PB2 1 0 24 CS2 PB1 PB3 1 2 CS2 ECS2 P43 P81 P90 134 ECS2 CS4 P43 P81 P90 13 ECS2 CS3 P43 P81 P90 14
1
0
0 34 CS3 CS4
12
PB0 PB3
1 3 CS3
124
PB0 PB2
1
0 4 CS4
13
PB1 PB3
1
14
25.3.4
604
18.
18.3.2
HIF
18.5
CSn CSn IOR IOW HA0
1
0
0
0
0 1
1
0 1
n ODRn n STRn n IDRn n IDRn
1
0
0 1
1
0 1 n=1 4
605
18.
18.3.3
GATE A 20
GATE A20 8086* CPU A20 GATE A20 0 GATE A20 * 1 HICR H'FFF0 FGA20
1 H'D1
GATE A20 GATE A20 IBF1 H'D1 GATE A20 IDR1 1
2 FGA20E P81
GATE A20 1 P81/GA20 P81 1 CS1 DDR GA20 1
IDR1 H'D1 1 GA20 18.6 GA20 P81 18.7 GA20 18.2 GA20
18.6 GA20
P81
GA20 P81
H'D1 1 1 IOW
H'D1 1 0 IOW
HICR
FGA20E
0
606
18.
No
H'D1
Yes
No Yes P81 GA20 DR 1
18.2 GA20
18.7
HA0
GATE A20
CPU GA20 P81 IBF
1 0 1 1 0 1 1 0 10 1 0 10 1 1 1 1 1 0 1 *1 *2
D1 1 FF D1 0 FF D1 1 FF D1 0 FF D1 D1 D1 D1 D1 D1 1 1 1 0 D1 *
2 2
0 *1 0 0 0 * 0 0 0 *1 D1 0 1 0 0 1 0 1 0 0 0 0 0
Q 1 Q1 Q 0 Q0 Q 1 Q1 Q 0 Q0 Q Q Q Q Q 10 Q10
607
18.
18.3.4
HIFSD SYSCR2 HIFSD Low HIRQ3 HIRQ4 GA20 CS1 CS2 ECS2 CS3 CS4 IOW High IOR HA0 SDE HIF 1 HIFSD HIFSD HIRQ1 HIRQ11 HIRQ12
HDB7 HDB0 HIFSD Low HIFSD High
18.8
HIF
18.8
HIF
IOR IOW CS1 CS2 ECS2 CS3 CS4 HA0 HDB7 HDB0 HIRQ11 HIRQ1 HIRQ12 HIRQ3 HIRQ4 GA20 HIFSD
P93 P94 P95 P81 P90 PB2 PB3 P80 P37 P30 P43 P44 P45 PB0 PB1 P81 P82 -- CS2E=1 P44DDR=1 P45DDR=1 CS3E=1 CS4E=1 FGA20E=1 SDE=1 PB0DDR=1 PB1DDR=1 P43DDR=1 CS2E=1 CS2E=1 CS3E=1 CS4E=1 FGA20E=0 FGA20E=1
608
18.
HI12E=1
P90 TMCI1/HSYNCI P45
IRQ2/ ADTRG P43 TMRI/CSYNCI
HIF --
609
18.
18.4
18.4.1 IBF1 IBF2 IBF3 IBF4
IBF1 IBF2 IBF3 IBF4 4 IDR1 IDR2 IDR3 IDR4
18.9
IBF1 IBF2 IBF3 IBF4
IBFIE1 IBFIE2 IBFIE3 IBFIE4
1 1 1 1
IDR1 IDR2 IDR3 IDR4
18.4.2
HIRQ11
HIRQ1
4 PBODR SYSCR2
HIRQ12
P4DR
HIRQ3
HIRQ4
B
P45DR P43DR
PB1ODR PB0ODR HI12E 1 IOR 0 IOR CS2 ODR2 IOR Low 0 CS3 ODR3 ODR1 HA0 Low PBODR Low HIRQ3 IOR 0 CS1
P4DR Low HIRQ1 HA0 HIRQ12 IOR Low 0
HIRQ11 0 IOR
HA0
Low CS4 Low HIRQ4 0 HA0
Low
ODR4
1 ODR1 ODR4 0 18.10 18.3
610
18.
18.10 HIRQ
HIRQ11 P43 HIRQ1 P44 HIRQ12 P45 HIRQ3 PB0 HIRQ4 PB1 0 0 0 0 0
CPU 1 CPU 1 CPU 1 CPU 1 CPU 1
P 43 DR
P43DR
CPU 2
0
P44DR
P44DR
CPU 1
0
P45DR
P45DR
CPU 1
0
PB0ODR
PB0ODR
CPU 3
0
PB1ODR
PB1ODR
CPU 4
0
CPU
CPU
ODR P4DR 1 HIRQ High HIRQ Low No P4DR 0 Yes ODR
No Yes
18.3 HIRQ
1
2
1
HIRQ P4DR PBODR PBODR HIRQ3 HIRQ4 P4DR PBODR P4DR HIRQ11
CPU
HIRQ1 HIRQ12 CPU P4DR PBODR
611
18.
18.5
1 HI F
ID R
ODR
2
HDB HIF S YSC R 2 SYSCR2 C S3E= 0 HI12E=1 C S4E= 0 3 4
1. 2. 3 CS1 CS4 CS1 CS4 B
CS
High
2
Low
IDR
ODR
612
19. D/A
19
19.1 ....................................................................................................................................... 615 19.1.1 19.1.2 19.1.3 19.1.4 19.2 19.2.1 19.2.2 19.2.3 19.3 ...................................................................................................... 615 ............................................................................................ 615 ................................................................................................ 616 ......................................................................................... 616 ................................................................................................................... 617 D/A D/A 0 1 DADR0 DADR1 DACR ........................................ 617
..................................................... 617 MSTPCR .......................... 619
................................................................................................................................. 620
19.
D/A
614
19.
D/A
19.1
LSI 2 D/A
19.1.1
D/A
8 2 10 0V AVref D/A s 20pF
19.1.2
D/A 19.1
AVref AVCC 8 DA1 D/A DA0 AVSS D A D R 0 D A D R 1 D A C R
DACR D/A DADR0 D/A DADR1 D/A
0 1
19.1 D/A
615
19.
D/A
19.1.3
D/A 19.1
19.1
AVCC AVSS 0 1 DA0 DA1 AVref 0 1
19.1.4
D/A 19.2
19.2
R/W D/A D/A D/A 0 1 DADR0 DADR1 DACR MSTPCRH MSTPCRL * 16 R/W R/W R/W R/W R/W H'00 H'00 H'1F H'3F H'FF H'FFF8 H'FFF9 H'FFFA H'FF86 H'FF87 *
616
19.
D/A
19.2
19.2.1 D/A 0 1 DADR0 DADR1
D/A
0
1
DAD R0
DAD R1
8
DADR
DADR
H'00
19.2.2
D/A
DACR
DAC R
8
D/A
DACR
H'1F
7 D/A
D/A
1
DAOE1
7 DAOE1 0 1 1 DA1 D/A DA1
617
19.
D/A
6 D/A
D/A
0
DAOE0
6 DAOE0 0 1 0 DA0 D/A DA0
5 DAOE0
D/A DAOE1 0 0 1 1
DAE D/A D/A D/A DAOE1 DAE DAE 1 0
DAOE0
7 DAOE1 0
6 DAOE0 0 1 0 DAE
5
01 0 1 1
D/A
D/A D/A D/A
01 0 1
1
0
0
D/A D/A D/A D/A Don't care
1 1
01 01
D/A
LSI D/A
D/A
DAOE0 DAOE1 DAE 0 D/A
4
0 1
618
19.
D/A
19.2.3
MSTPCR
MSTPCR
8
2
MSTP10
1 24.5
D/A
MST PC R
H'3F FF
10 D/A
MSTP10
MSTPCRH 2 MSTP10 0 1 D/A D/A
619
19.
D/A
19.3
D/A 2 D/A DAC R DADR0 DADR1 1 0 19.2 D/A D/A D/A DAOE0 DAOE1
1 2
DADR0 DAC R DAO E0 1 D/A DA0
DADR 256 DADR0 DAOE0 0 AVref
3
DAD R0
4
DAOE0
0
DA0
DADR0
DACR
DADR0
DACR
DADR0
1
2
DAOE0
DA0
1 tDCONV tDCONV
2
tDCONV
D/A
19.2 D/A
620
20. A/D
20
20.1 ....................................................................................................................................... 623 20.1.1 20.1.2 20.1.3 20.1.4 20.2 20.2.1 20.2.2 20.2.3 20.2.4 20.2.5 20.3 20.4 ...................................................................................................... 623 ............................................................................................ 624 ................................................................................................ 625 ......................................................................................... 626 ................................................................................................................... 627 A/D A/D A/D ADCR A D ADDRA ADDRD ..................................... 627
ADCSR ................................ 628 ..................................................... 630 KBCOMP MSTPCR .................. 631
.......................... 633
............................................................................................... 634 ................................................................................................................................. 635 20.4.1 20.4.2 20.4.3 20.4.4 SCAN SCAN A/D 0 1 ................................................................. 635 ................................................................. 637 ........................................................... 639 ..................................................................... 640
20.5 20.6
.................................................................................................................................... 641 .......................................................................................................................... 641
20.
A/D
622
20.
A/D
20.1
LS I 10 A/D 8 8 16 A/D
20.1.1
A/D
10 8 16
AVr ef
1
6.7
s
20MHz
1 1 4 4
A/D A/D
16
3 8 ADTR G
A/D A/D A/D ADI
623
20.
A/D
20.1.2
A/D 20.1
AVCC AVref AVSS 10 D/A A D D R A A D D R B A D D R C A D D R D A D C S R A D C R
AN0 AN1 AN2 AN3 AN4 AN5 AN6/CIN0 7 AN7/CIN8 15
+ 8
16
ADI ADTRG 8 A/D A/D A/D A/D A/D A/D
ADCR ADCSR ADDRA ADDRB ADDRC ADDRD
A B C D
20.1 A/D
624
20.
A/D
20.1.3
A/D AVCC AVSS A/D 20.1
20.1
AVCC AVSS AVref 0 1 2 3 4 5 6 7 A/D A/D 0 15 AN0 AN1 AN2 AN3 AN4 AN5 AN6 AN7 ADTRG CIN0 CIN15 A/D A/D 0 15 A/D 0 1 2 3 4 5 6 7
625
20.
A/D
20.1.4
A/D 20.2
20.2
R/W A/D A/D A/D A/D A/D A/D A/D A/D A/D A/D AH AL BH BL CH CL DH DL ADDRAH ADDRAL ADDRBH ADDRBL ADDRCH ADDRCL ADDRDH ADDRDL ADCSR ADCR MSTPCRH MSTPCRL KBCOMP *1 *2 7 16 0 R R R R R R R R R/(W)* 2 R/W R/W R/W R/W H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'00 H'3F H'3F H'FF H'00 *1 H'FFE0 H'FFE1 H'FFE2 H'FFE3 H'FFE4 H'FFE5 H'FFE6 H'FFE7 H'FFE8 H'FFE9 H'FF86 H'FF87 H'FEE4
626
20.
A/D
20.2
20.2.1 A/D A D ADDRA ADDRD
-- -- -- -- -- --
ADDR ADDRD A/D
A/D 4 10 A/D
16
ADDRA
ADD R 8 7 0 6 ADDR
15
8 5 0
2
ADDR
20.3
ADDR
CPU
TEMP
20.3
ADDR H'0000
20.3
ADDR
A/D 0 AN0 AN1 AN2 AN3 AN6 AN7 AN4 AN5 CIN0 CIN7 CIN8 CIN15 1 ADDRA ADDRB ADDRC ADDRD
627
20.
A/D
20.2.2
A/D
ADCSR
* *
ADCSR ADC S R
8
A/D
H'00
7 A/D
A/D
ADF
7 ADF 0 1 ADF 1 ADF ADF 0
2 ADI 1 1 2
DTC
ADDR
A/D A/D
6 A/D
A/D ADI
ADIE
6 ADIE 0 1 A/D A/D ADI ADI
628
20.
A/D
5 A/D ADST
A/D
ADST A/D 1 A/D 1
ADTRG
5 ADST 0 1 A/D 1 A/D 0 2 A/D 0
4 A/D
SCAN
20.4 SCAN
4 SCAN 0 1
3 A/D
CKS
ADST 0
3 CKS 0 1 266 134 Max Max
629
20.
A/D
2 SCAN
0
2
0
CH2 CH0
1
CH2 0
CH1 0
CH0 0 1 AN0 AN1 AN2 AN3 AN4 AN5 AN6 CIN0 CIN7 AN0 AN0 AN0 AN0 AN4 AN4 AN4 AN6 1 AN7 CIN8 CIN15 AN4 AN6 AN7 AN5 CIN0 CIN7 CIN8 CIN15 AN5 AN5 CIN0 CIN7 AN1 AN1 AN1 AN2 AN2 AN3
1
0 1
1
0
0 1
1
0
20.2.3
A/D
ADCR
-- -- -- -- -- -- -- -- -- -- -- --
ADCR
8
A/D
ADCR H'3F
630
20.
A/D
7
6 A/D
1
0
TRGS1 TRGS0
TRGS1
TRGS0
7 TRGS1 0
6 TRGS0 0 1 A/D A/D 8 A/D A/D
1
0 1
5
0 1
* HD6 4 F21 48
HD6 4 F21 47 N
HD6 4 F21 44
HD6 4 F21 42 R
HD6 4 32 14 2
1
631
20.
A/D
20.2.4
KBCOMP
KBCH2 0 KBCH1 0 KBCH0 0
KBCOMP A/D KBCOMP
8 CIN
SCI2
IrDA
H'00
7
4
IrDA KBCOMP
15.2.11
3 A/D
A/D 6 7 AN6 AN7
CIN0 CIN7 CIN8 CIN15
2
0
A/D A/D
2
0
632
20.
A/D
3 KBADE 0 1 0
2 KBCH2
1 KBCH1
0 KBCH0
A/D 6 AN6
A/D 7 AN7 CIN8 CIN9 CIN10 CIN11 CIN12 CIN13 CIN14 CIN15
0
0 1
CIN0 CIN1 CIN2 CIN3 CIN4 CIN5 CIN6 CIN7
1
0 1
1
0
0 1
1
0 1
20.2.5
MSTPCR
MSTPCR
8
2
MST P9
1
A/D
25.5
MST PC R
H'3F FF
MSTPCRH A/D
1
MSTP9
MSTPCRH 1 MSTP9 0 1 A/D A/D
633
20.
A/D
20.3
ADD RA ADD RD 16 8 8 ADDR C PU TEMP ADDR CPU TEMP TEMP
20.2
ADDR
(H'AA)
TEMP (H'40)
ADDRnH (H'AA)
ADDRnL (H'40) n A D
(H'40)
TEMP (H'40)
ADDRnH (H'AA)
ADDRnL (H'40) n A D
20.2 ADDR
H'AA40
634
20.
A/D
20.4
A/D 10
20.4.1
SCAN
1
0
A/D ADST 1 A/D
ADST
A/D
1
ADF 1 ADF ADCSR ADI
1
ADI E
ADC S R
ADS T ADST 1 ADST 1 AN1 20.3
A/D A/D
1 1 A/D ADIE
SCAN 1
0 A/D
AN1
CH1
0 CH0
ADST 1
2
A/D ADST 0 A/D ADIE 1
A/D
ADDRB
ADF
1
3 4 5 6 7
ADF A/D
1
ADI
ADCSR A/D A/D ADS T 1 ADDRB
ADF
0
A/D
2
7
635
20.
A/D
*
* *
* *
*
20.3 A/D
1
636
20.
A/D
20.4.2
SCAN
1
1
ADST 1 AN4
1 A/D 1
1
CH2
0
AN0 CH2
2 A/D
AN1 ADS T
AN5 0
A/D
ADD R
A/D ADC S R ADS T ADST 1 A/D 1 ADST A/D
3
AN0 AN2
A/D 20.4
1 AN0 ADST 1 2 1 AN0 A/D
SCAN AN2 C H1
1 1 C H0 0
0 A/D
CH2
0
A/D
ADDRA
2 3 4 1 ADI E 3
AN1 AN2 AN0 AN0 1 A/D ADI AN2 ADF 1
5
ADST ADS T
1 0 A/D A/D 1
2
4 ADS T AN0 1
637
20.
A/D
A/D *1 ADST ADF A/D 0 1 2 3 AN0 AN1 AN2 AN3 A/D 1 A/D A/D A/D 2 3 4 A/D 1 A/D 2 A/D 3 A/D 4 A/D 5 *2 *1 *1
ADDRA ADDRB ADDRC ADDRD *1 *2
20.4 A/D
AN0 AN2
3
638
20.
A/D
20.4.3
A/D 1 A/D
A/D
A/D tD 2 0.5 A/D 2 0.4 ADS T
A/D tD
20.5 ADCSR 20.4 20.4 CKS 0 256
tD
1 CKS 1 128
2
20.5 A/D
639
20.
A/D
20.4 A/D
CKS min A/D tD t SPL A/D t CONV 259 10 63 266 131 typ 0 max 17 min 6 31 134 CKS typ 1 max 9
20.4.4
A/D TRGS1 TRGS0 ADTRG 11 ADCSR ADTRG ADST 1 A/D ADCR
ADST
1 20.6
ADTRG
20.6
640
20.
A/D
20.5
A/D ADI A/D ADCSR ADIE A/D ADI
20.6
A/D
1
a A/D n b C INn n c AVCC AVSS AVCC AVSS VCC VSS VCC VSS AVSS AVSS VSS A/D 0 15 AVSS C INn AVre f VSS C INn VCC 0 7 ANn AVSS ANn AVre f
AVCC d AVref AVref
AVref
AVCC
a
b
c
d
LS I
2
A/D AN0 AVCC AVS S AN7 AVSS VS S AVr ef
641
20.
A/D
3
AN0 AN7 AVr ef 2 0.7 AVSS AVCC AVref AVSS 2 0.7 AN7 A/D A/D Ri n & AN0 AN0 AN7 AVC C
* * *
*
*
20.7
20.5
min max 20 10* * VCC 4.0 5.5V 12MHz pF k
642
20.
A/D
10k AN0 AN7 A/D 20pF
20.8
4
A/D
LSI A/D
A/D
B '0000000000
H'000 A/D
B '0000000001
H'001 20.10
B '1111111110
H'3F E A/D
B '1111111111
H'3F F 20.11
A/D
1/2 LSB
20.9
A/D
643
20.
A/D
A/D H'3FF H'3FE H'3FD H'004 H'003 H'002 H'001 H'000 1 2 1024 1024 1022 1023 FS 1024 1024
20.9 A/D
1
A/D
A/D FS
20.10 A/D
2
644
20.
A/D
5
LSI CKS 0 A/D 10k 12MHz CKS 0 A/D AVCC 4.0 5.5V 10k AVCC 4.0 5.5V 12MHz
10k
5mV/
s
6
GND AVSS GND GND
LSI
A/D
10 k Cin = 15 pF C 0.1 F
10 k
20 pF
20.11
645
20.
A/D
646
21. RAM
21
21.1 ....................................................................................................................................... 649 21.1.1 21.1.2 21.2 21.3 ............................................................................................ 649 ......................................................................................... 649 SYSCR .............................................................................. 650
................................................................................................................................. 651 21.3.1 21.3.2 1 2 3 EXPE 1 2 3 ........................................... 651 ................................ 651
EXPE 0
21.
RAM
648
21.
RAM
21.1
H8S /2148 H8S /2144 H8S /2143 RAM 4k H8S /2147 H8S /2147N H8S /2142 16 1 2k RAM
RAM
SYSCR
RAM
RAME
21.1.1
RAM 21.1
8
8
H'FFE080 H'FFE082 H'FFE084
H'FFE081 H'FFE083 H'FFE085
H'FFEFFE H'FFFF00
H'FFEFFF H'FFFF01
H'FFFF7E
H'FFFF7F
21.1 RAM
H8S/2148 H8S/2144 H8S/2143
21.1.2
RAM SYSCR 21.1
21.1
R/W SYSCR * 16 R/W H'09 H'FFC4 *
649
21.
RAM
21.2
SYSCR
RAM
SYSCR
RAME 3 .2 .2
SYSCR
0
RAM
RAME RAME
RAM
0 RAME 0 1 RAM RAM
650
21.
RAM
21.3
21.3.1
RAME H'(FF)E080 1 H'(FF)EFFF H'(F F) E880 R AM H'(F F) E080 H'(F F) EFF F H'(FF)FF00 H'(F F) EFF F R AME H'(F F) FF 00
1
2
3
EXPE 1
H8S/2148,H8S/2144,H8S/2143 H'(FF)FF7F H8S/2147 H8S/2147N H8S/2142 H'(F F) FF 00 0 H'(F F) FF 7F H'(F F) FF 7F
RAM
16 1 8 8
21.3.2
RAME H'(FF)E080 1 H'(FF)EFFF H'(F F) E880 R AM RAM RAM 16
2
3
EXPE 0
H8S/2148,H8S/2144,H8S/2143 H'(FF)FF7F H8S/2147 H8S/2147N H8S/2142 H'(F F) FF 00 H'(F F) FF 7F
H'(FF)FF00 H'(F F) EFF F
R AME
0
1 8 8
651
21.
RAM
652
22. ROM
ROM , H8S/2148 F-ZTAT, H8S/2147N F-ZTAT, H8S/2144 F-ZTAT, H8S/2142 F-ZTAT
22
22.1 ....................................................................................................................................... 655 22.1.1 22.1.2 22.2 ............................................................................................ 655 ......................................................................................... 655
....................................................................................................................... 656 22.2.1 MDCR ................................................. 656
22.3 22.4
................................................................................................................................. 657 ......................................................................................................... 658 22.4.1 22.4.2 22.4.3 22.4.4 22.4.5 ...................................................................................................... 658 ............................................................................................ 659 .............................................................. 660 ................................................................................................ 664 ......................................................................................... 664 ......................................................................................... 665 22.5.1 22.5.2 22.5.3 22.5.4 1 2 1 2 FLMCR1 FLMCR2 ........................... 665 ........................... 667
22.5
EBR1 EBR2 .................................... 669 STCR ..................................... 670
22.
ROM
22.6 22.6.1 22.6.2 22.7 22.7.1 22.7.2 22.7.3 22.7.4 22.8 22.8.1 22.8.2 22.8.3 22.9 22.10 22.10.1 22.10.2 22.10.3 22.10.4 22.10.5 22.10.6 22.10.7 22.10.8 22.10.9 22.10.10 22.11 22.12 F-ZTAT ROM
............................................................................................ 671 ......................................................................................... 672 ........................................................................ 678 ......................................................................................... 679 .................................................................................. 679 .................................................................. 680 ...................................................................................... 682 ..................................................................... 682 ............................................................................................... 685 ........................................................................ 685 ........................................................................ 685 .................................................................................. 686 .................................................................... 687 ............................................................................................ 688 ............................................................................... 688 ........................................................... 688 ............................................................................... 689 ............................................................................ 691 ............................................................................... 694 ...................................................................................... 696 ..................................................................... 697 ............................................................................ 698 ..................................................................... 699 ........................................................................ 699 ........................................................................... 700 ....................................................................... 702
654
22.
ROM
22.1
H8S/2148 H8S/2142 ROM H8S/2144 64k 128k ROM 16 1 H8S/2143 96k H8S/2147 H8S/2147N ROM
ROM
MD1 MD0
MDCR
EXPE
H8S/2148 H8S/2147N H8S/2144 H8S/2142
PROM
22.1.1
ROM 22.1
22.1 ROM
H8S/2148 H8S/2144
22.1.2
LS I MDC R 2 2.1
22.1
R/W MDCR R/W H'FFC5 *
*
16
655
22.
ROM
22.2
22.2.1 MDCR
* * *
*
*
MDCR
8
LSI
EXP E
7
EXPE 1 1
2
3
0
7 EXPE 0 1
6
2 0
1
0 MD1 MDS1 MDS0 MD0
1
0
MDS1
MDS0
MD1 MD0 MDC R MD1 MD0
656
22.
ROM
22.3
R OM C PU 16 1 8 8
ROM 22.2 ROM
MD1 MD0
MDCR
EXPE
56k
22.2
ROM
MDCR ROM
MCU
CPU
MD1
MD0 EXPE
1 2
ROM
0 1
1 0
1 0 1 *
ROM 3 ROM * H8S/2148 H8S/2144 H8S/2142 64k 128k H8S/2143 96k 1
0 1 56k H8S/2147 H8S/2147N
657
22.
ROM
22.4
22.4.1
4
32
1
1k 32k
28k
16k
8k
32 300 s typ.
10ms
typ.
1
100ms typ.
100
2
LS I
3
PROM
658
22.
ROM
22.4.2
16
FLMCR1 FLMCR2 EBR1 EBR2
* * * *
128k
64k
FLMCR1 FLMCR2 EBR1 EBR2 *
1 2 1 2
22.2
659
22.
ROM
22.4.3
1
2 2.3
1 MD1
ROM
RES
0
RES 0
0
RES
SWE=1
RE
SWE=0
S
0
CPU MD0=MD1=0 MD0=MD1=0 P92=P91=P90=1 P92=0 P91=P90=1
22.3
660
22.
ROM
2
a
1.
2. SCI
LSI LSI RAM SCI
" !
LSI LSI SCI SCI RAM RAM
3.
4.
RAM
RAM
H'FF
SCI
LSI
LSI
SCI
SCI
RAM
RAM
22.4
661
22.
ROM
b
1.
1 RAM
2.
RAM 2

, !
LSI LSI SCI SCI RAM RAM
3.
4.
RAM
H'FF
LSI
LSI
SCI
SCI
RAM
RAM
22.5
662
22.
ROM
3
* 1 2 *
2
1
2
4
32k 2 28k 1 128k 1k 8k 4 2 16k 1
22.6
663
22.
ROM
22.4.4
22.3
22.3
RES 1 0 92 91 90 MD1 MD0 P92 P91 P90 TxD1 RxD1 LSI LSI MD1=MD0=0 MD1=MD0=0 MD1=MD0=0 LSI LSI LSI
22.4.5
2 2.4 S TCR F LSHE 1
22.4
R/W 1 2 1 2 FLMCR1* 5 FLMCR2* 5 EBR1* 5 EBR2* 5 STCR *1 *2 FLSHE *3 *4 FLMCR1 SWE * 5 FL MCR 1 FL MCR 2 EBR 1 EBR 2 2 ROM H'00 8 H' 00 16 ST CR R/W* 3 R/W* 3 R/W* 3 R/W* 3 R/W H'80 H'00* 4 H'00* 4 H'00* 4 H'00 *1 H'FF80* 2 H'FF81* 2 H'FF82* 2 H'FF83* 2 H'FFC3
664
22.
ROM
22.5
22.5.1
7 FWE 1 R/W R 6 SWE 0 R/W 0 0 5 4
1
FLMCR1
3 EV 0 R/W 2 PV 0 R/W 1 E 0 R/W 0 P 0 R/W
F LMCR 1 SWE 1
8
SWE 1 FLMCR2 PSU SWE 1 FLMCR1 P FLMCR2 ESU E
H'80 H'00 FLMCR1 SWE 1 ESU 1 EV PV P SWE 1 E
SWE 1 PSU 1
7
FWE
1
6
SWE ESU PSU EV
PV E P
EB 9
EB 0
6 SWE 0 1
5
4 0
665
22.
ROM
3
EV SWE ESU PSU
PV
EP
3 EV 0 1 SWE 1
2
PV SWE ESU PSU
EV E P
2 PV 0 1 SWE 1
1
E SWE ESU PSU EV PV P
1 E 0 1 SWE 1 ESU 1
666
22.
ROM
0
P SWE PSU ESU EV PV
E
0 P 0 1 SWE 1 PSU 1
22.5.2
2
FLMCR2
FLMCR2
8 H'00
F LMCR 2 ES U P SU 0
H'00
7
FLER
FLER 1
7 FLER 0
1
22.8.3 667
22.
ROM
6
2 0
1
ESU FLMCR1 E 1
1
SWE PSU EV PV
EP
1 ESU 0 1 SWE 1
0
PSU FLMCR1 1 SWE ESU EV PV EP P 1
0 PSU 0 1 SWE 1
668
22.
ROM
22.5.3
7 EBR1 0 R/W 7 EBR2 R/W *1 *2 64k EB8 EB7 0 R/W*1 6 EB6 0 R/W 0 6
1
5 0
2
EBR1
4 0 3 0
EBR2
2 0 1 EB9/ 0 *2 0 EB8/ *2 0
R/W*1*2 R/W*1*2 5 EB5 0 R/W 0 EB9 4 EB4 0 R/W 3 EB3 0 R/W 2 EB2 0 R/W 1 EB1 0 R/W 0 EB0 0 R/W
EB R1 1 0 128k EB R2 7 0 R /W EB R1 EB R2
FLMCR1 H'00 EBR1 EBR2
SWE 1
EBR1 EBR2
1
2
H'00 22.5
22.5
128k EB0 1k EB1 1k EB2 1k EB3 1k EB4 28k EB5 16k EB6 8k EB7 8k EB8 32k EB9 32k
64k EB0 1k EB1 1k EB2 1k EB3 1k EB4 28k EB5 16k EB6 8k EB7 8k H'(00)0000 H'(00)03FF H'(00)0400 H'(00)07FF H'(00)0800 H'(00)0BFF H'(00)0C00 H'(00)0FFF
H'(00)1000 H'(00)7FFF H'(00)8000 H'(00)BFFF H'(00)C000 H'(00)DFFF
H'00E000 H'00FFFF H'010000 H'017FFF H'018000 H'01FFFF 669
22.
ROM
22.5.4
7 IICS 0 R/W R/W 6 IICX1 0 R/W 5 IICX0 0 R/W 4 IICE 0 R/W
STCR
3 FLSHE 0 R/W 0 R/W 2 1 ICKS1 0 R/W 0 ICKS0 0 R/W
S TCR
8 IIC TCNT
II C F-ZTAT
3.2.4 STCR 1 S TCR
STCR
H'00
7 IIC
4
I 2C I2C
IICS IICX1 IICX0 IICE
16
I 2C
3 FLSHE 1 0
FLSHE
3 FLSHE 0 1
2 1
1 8
0
1
0
ICKS1 12
ICKS0 8
670
22.
ROM
22.6
2 2 2.6 22.3
MD0 56k
22.6
CPU
MD1 0 1
MD0 0 0 1
P92 1*
P91 1*
P90 1*
*
I/O
671
22.
ROM
22.6.1
SCI 1
LSI
S CI
LS I R AM
LS I
S CI
2 2.7
2 2.8
22.7
672
22.
ROM
H'00 LSI H'00 LSI
Low
LSI H'00 1
H'00 H'55 LSI H'55 RAM 1
LSI H'AA 1
N
LSI
n
1
LSI n 1 n
RAM No Yes
n
N
H'AA RAM
H'FF
1
22.8
673
22.
ROM
1
SCI
22.9 SCI
RxD1
LSI H'00 1 Low H'00 1 LSI H'55 1 H'00 Low SCI LS I
SCI 8
LSI LSI 2400bps 4800bps 9600bps LSI 2 2.7 SCI
22.7
LSI
LSI
9600bps 4800bps 2400bps
8MHz 20MHz 4MHz 20MHz 2MHz 18MHz
674
22.
ROM
2
RAM
H'(F F) FF 00 H'(F F) FF 7F 128 2 2.10
H'(FF)E080 H'(F F) EFF F 1920
H'(FF)EFFF
3968
128k
H'(FF)E880 R AM
64k
H'(FF)E080
H'(FF)E880
3968 1920
H'(FF)EFFF H'(FF)FF00 128 a 128k *
H'(FF)EFFF H'(FF)FF00 128 b 64k *
H'(FF)FF7F
H'(FF)FF7F
*
RAM RAM
22.10
RAM
675
22.
ROM
3
a LS I R xD1 R xD1 100 High Low LS I S CI R xD1 Low
b 1
c
d
RxD1
TxD1
e
LSI 128k 1
RAM H'(F F) E880 64k SCR RE 0 TE 0
RAM
H'(FF)E080 S CI BRR
TxD1 P84DDR 1 P84DR 1 C PU
High
SP
f
22.6
LS I P91 P90 I/O
*1
P 92
Low *
1
20 WDT
676
22.
ROM
g
Low
High
AS
RD
HWR
*2
*1 tMDS 4 *2 Low 1
1
High
677
22.
ROM
22.6.2
2 2 S WE 3
3
1
RAM 2 2.11 R AM
MD1 MD0 10
11
RAM
RAM
22.11
678
22.
ROM
22.7
CPU
F LMCR 2
P SU ES U
F LMCR 1
P
E P V EV
RAM 1 . FL MCR1 S WE EV PV E P FL MCR2 E SU P SU
2.
22.7.1
22.12
1
32 1 x y z 2 FLMCR1 FLMCR2 N
26 1 FLMCR1 x s 32 R AM 32 8 H'00 H'20 H'40 H'60 H'80 H'A0 H'C0 H'E0 32 32 32 H'FF SWE 1
WDT F LMCR 2 P SU y s
y+ z+
+
s
FLMCR1
679
22.
ROM
P
P
z
s
22.7.2
FLMCR1 s s PV FLMCR2 PSU
P 0
0
FLMCR1
H'F F s 16
s 22.12 32 s 0 F LMCR 1 S WE
N
680
22.
ROM
START FLMCR1 SWE Wait 32 x s
*5 *4
n m RAM
1 0 32
*1
WDT FLMCR2 PSU Wait FLMCR1 P z s y s
*5
Wait FLMCR1 P
*5
Wait FLMCR2 PSU
s
*5
n n 1
Wait WDT FLMCR1 PV
s
*5
Wait H'FF Wait
s
*5
s
*5 *2
NG
OK
m
1
*3 *4
32 NG
RAM
FLMCR1
32
OK PV s 0 OK FLMCR1 SWE FLMCR1 SWE NG
Wait m
*5
n N OK
*5
NG
32
*1 H'C0, H'E0 H'FF *2 *3 32 *4 RAM *5 x y 0 0 1 1 z 0 1 0 1 N 1 0 1 1 16 (W) 32
8 32
H'00, H'20, H'40, H'60, H'80, H'A0,
FAIL (32 26 ) (32 )
22.12
681
22.
ROM
22.7.3
1 22.13
1 xyz
2 FLMCR1 FLMCR2 N 26
1 S WE 1 1 2 EBR1 EBR2 x s 1
F LMCR 1
WDT F LMCR 2 ES U y E 1 z ms s
y+ z+
+
ms
FLMCR1 E
0
22.7.4
F LMCR 1 s F LMCR 2 s 1 ES U
E 0
0
F LMCR 1
EV
H'F F s 16
s
1
N s
FLMCR1
682
SWE
0
22.
ROM
EBR1 EBR2
1
683
22.
ROM
START FLMCR1 SWE Wait x n1 EBR1 EBR2 s
*1
*5
*3
WDT FLMCR2 ESU Wait y FLMCR1 E Wait z ms FLMCR1 E Wait FLMCR2 ESU s s s
*5
*5
*5
Wait WDT FLMCR1 EV Wait
*5
s
*5
nn1
H'FF Wait s
*5 *2
NG
1 OK NG OK FLMCR1 EV Wait NG s FLMCR1 EV
*5
Wait
s
*5 *5
NG
*4
nN OK OK FLMCR1 SWE
FLMCR1 SWE
*1 *2 *3 EBR1 EBR2 *4 *5 x y z
0 16 1 N W 2 26
22.13
684
22.
ROM
22.8
3
22.8.1
1 2 FLMCR1 FLMCR2 22.8 1 2 EBR1 EBR2
22.8
WDT
FLMCR1 FLMCR2 EBR1 EBR2 RES RES Low AC RES Low RES
22.8.2
FLMCR1 EB R1 EB R2 1 FLMCR1 P E 22.9 SWE 12
22.9
SWE
FLMCR1 RAM
SWE
0
1 2 EBR1 EBR1 EBR2 H'00
EBR2
685
22.
ROM
22.8.3
F LMCR 2 FLER FLMCR2 1 EBR1 EBR2 P EV FLER 1 E PV FLMCR1
2 3 S LEEP
4
CPU
22.14
RES RD VF PR ER FLER 0
0
STBY
0 RD VF PR ER FLER 0
*
*
0 0 S RE BY T S
FLMCR1 FLMCR2 EBR1 EBR2 RES 0 STBY 0
RD VF* PR ER FLER 1
RD VF PR ER FLER 1 FLMCR1 FLMCR2 EBR1 EBR2
*
RD VF PR ER *1 SLEEP SLEEP *2 SLEEP *3 *4
RD VF PR ER
VF
22.14
686
22.
ROM
22.9
FLMCR1 *1 NMI P E
1
2
*2
3
NMI F LMCR 1 P E
*1
*2
2 FLMCR1 P E
687
22.
ROM
22.10
22.10.1
128k * *2 R OM
3 13
64k 5. 0V P ROM
22.10
*1 *2
H8S/2148 H8S/2144 H8S/2147N H8S/2142 H8 S/2 14 4 H8 S/2 14 2 A 5 .0 V
*3 H8 S/2 14 8 H8 S/2 14 7N 5V 3V
P ROM
A
5.0V
22.10
MD1 STBY RES XTAL EXTAL
MD0
MD1 High
MD0
Low
P97 P92 P91 P90 P67
P92 P67
Low High
P97 P91 P90
22.10.2
PROM 128k 64k
2 2.15
688
22.
ROM
1.3.2
MCU H'000000
H8S/2148 H8S/2144 H'00000
MCU H'000000
H8S/2147N H8S/2142 H'00000 ROM
ROM
H'00FFFF
H'0FFFF
H'01FFFF
H'1FFFF
H'1FFFF
22.15
22.10.3
22.11 22.12
128
FO6
689
22.
ROM
22.11
CE L L L *1 *1 *2 Ain H
OE L H H X
WE H H L X
FO7
FO0
FA17 Ain
FA0
Hi-z
X Ain* 2
Hi-z
X
22.12
1 2
1+n 129 2 2
write write write write
X X X X
H'00 H'40 H'20 H'71
read write write write
RA WA X X
Dout Din H'20 H'71
1.
128
129
2.
n
690
22.
ROM
22.10.4
1
2 3 4
22.13 V CC =5.0V 10
AC V SS=0V Ta=25
1 5
MIN MAX s ns ns ns ns ns 30 30 ns ns
t nxtc CE CE t ceh t ces t dh t ds t wep WE WE tr tf
20 0 0 50 50 70
FA17 FA0
ADDRESS STABLE
CE OE WE FO7 FO0
twep
tceh
tnxtc
tces tf tr DATA tdh tds WE DATA
22.16
691
22.
ROM
22.14 V CC =5.0V 10 V SS=0V Ta=25 5
MIN t nxtc CE CE t ceh t ces t dh t ds t wep WE WE tr tf 20 0 0 50 50 70 30 30 MAX
AC
s ns ns ns ns ns ns ns
FA17 FA0
ADDRESS STABLE
CE OE WE FO7 FO0 DATA tnxtc tces tf
twep
tceh
tr H'XX tdh tds
WE
OE
22.17
692
22.
ROM
22.15 V CC =5.0V 10
AC V SS=0V Ta=25
2 5
MIN MAX 20 150 150 100 5 s ns ns ns ns
t acc CE OE t ce t oe t df t oh
FA17 FA0
ADDRESS STABLE
ADDRESS STABLE
CE OE WE tacc
FO7 FO0
VIL VIL VIH toh DATA DATA toh
tacc
22.18 CE
OE
FA17 FA0
ADDRESS STABLE
ADDRESS STABLE tacc
CE OE WE tacc FO7 FO0
tce toe tdf DATA toh
tce toe VIH tdf DATA toh
22.19 CE
OE
693
22.
ROM
22.10.5
1 AC
22.16 V CC =5.0V 10 AC V SS=0V Ta=25 5
MIN t nxtc CE CE t ceh t ces t dh t ds t wep t wsts t spa t as t ah t write WE WE tr tf 0 60 1 3000 30 30 20 0 0 50 50 70 1 150 MAX s ns ns ns ns ns ms ns ns ns ms ns ns
FA17 FA0
CE OE twep WE FO7 tces tf tds tdh FO6 tr tceh tnxtc
ADDRESS STABLE tas tah
tnxtc 1 128 twsts tspa twrite 1 3000ms
FO7
FO0
H'40
DATA
DATA
FO5 FO0 0
22.20
694
22.
ROM
2
a 128 b 128 128 H'FF 128
c
8
H'00 H'80
d
2
2 2.20
3
e f 2 g FO6 F O7 128 1
h
FO6 FO7 C E OE
695
22.
ROM
22.10.6
1 AC
22.17 V CC =5.0V 10 AC V SS=0V Ta=25 5
MIN t nxtc CE CE t ceh t ces t dh t ds t wep t ests t spa t erase WE WE tr tf 100 20 0 0 50 50 70 1 150 40000 30 30 MAX s ns ns ns ns ns ms ns ms ns ns
FA17
FA0
CE OE
tces
tceh tspa tnxtc twep tests terase 100 40000ms tnxtc
WE
tf
tds
tr
tdh
FO7 FO6
CLin FO7 FO0 H'20
DLin H'20 FO5 0 0
22.21
696
22.
ROM
2
a b c FO6 F O7
d
FO6 FO7 C E OE
22.10.7
1
2
22.18 V CC =5.0V 10
AC V SS=0V Ta=25 5
MIN t nxtc 20 0 0 50 50 70 150 100 150 30 30 MAX s ns ns ns ns ns ns ns ns ns ns
CE CE
t ceh t ces t dh t ds t wep
OE
t oe t df
CE WE WE
t ce tr tf
697
22.
ROM
FA17
FA0
CE tce OE twep WE tces tf tds tceh tr tdh FO7 FO0 H'71 FO2 FO3 tnxtc tces tf tds H'71 twep tceh tr tdh DATA tnxtc toe tdf
tnxtc
22.22
22.19
FO7 FO6 FO5 FO4 FO3 FO2 FO1 FO0
or
0 0 1
0
0
0
0
0
0
0
1 0
1 0
1 0
1 0
1 0
FO2
FO3
22.10.8
1 FO7
2 FO6
22.20
FO7 FO6 FO0 FO5
0 0 0
1 0 0
0 1 0
1 1 0
698
22.
ROM
22.10.9
22.21
MIN t osc1 t bmv VCC t dwn 20 10 0 MAX ms ms ms
VCC RES
tosc1
tbmv
tdwn
Don't care
22.23
22.10.10
1
2
1.
2.
1
699
22.
ROM
22.11
PROM 64k 5.0V
128k
HN28F101
3.3V
VCC
RES
Low
FLMCR1 E
P
SWE
SWE
NMI
700
22.
ROM
32 128 1
1
PROM
701
22.
ROM
22.12 F-ZTAT
F -ZTAT 22.22 22.22 F -ZTAT 22.22 F-ZTAT
ROM
R OM
22.22 F-ZTAT
ROM
1 2 1 2
FLMCR1 FLMCR2 EBR1 EBR2
H'FF80 H'FF81 H'FF82 H'FF83
702
23. ROM
H8S/2148 F-ZTAT A H8S/2147 F-ZTAT A H8S/2144 F-ZTAT A
23
23.1 ........................................................................................................................................ 705 23.1.1 23.1.2 23.2 ............................................................................................. 705 ......................................................................................... 705
....................................................................................................................... 706 23.2.1 MDCR .................................................. 706
23.3 23.4
................................................................................................................................. 707 .......................................................................................................... 708 23.4.1 23.4.2 23.4.3 23.4.4 23.4.5 ....................................................................................................... 708 ............................................................................................. 709 ............................................................... 710 ................................................................................................ 714 ......................................................................................... 714 ......................................................................................... 715 23.5.1 23.5.2 23.5.3 23.5.4 1 2 1 2 FLMCR1 FLMCR2 ........................... 715 ........................... 717
23.5
EBR1 EBR2 STCR
.................................... 719 ...................................... 720
23.6 23.6.1 23.6.2 23.7
............................................................................................ 721 ......................................................................................... 722 ......................................................................... 728 ......................................................................................... 729
23.
ROM
23.7.1 23.7.2 23.7.3 23.7.4 23.8 23.8.1 23.8.2 23.8.3 23.9 23.10 23.10.1 23.10.2 23.10.3 23.10.4 23.10.5 23.10.6 23.10.7 23.10.8 23.10.9 23.10.10 23.11 23.12 F-ZTAT ROM
................................................................................... 729 .................................................................. 730 ...................................................................................... 732 ..................................................................... 732 ................................................................................................ 734 ......................................................................... 734 ......................................................................... 734 ................................................................................... 735 .................................................................... 736 ............................................................................................ 737 ............................................................................... 737 ........................................................... 737 ............................................................................... 738 ............................................................................ 740 ............................................................................... 743 ...................................................................................... 745 ..................................................................... 746 ............................................................................ 747 ..................................................................... 748 ......................................................................... 748 ............................................................................ 749 ....................................................................... 750
704
23.
ROM
23.1
H8S /2148 F -ZTAT A F -ZTAT A 64k 16 1 H8S /2144 F -ZTAT A 128k H8S /2147
ROM
MD1 MD0
MDCR
EXPE
LSI
PROM
23.1.1
ROM 23.1
8
8
H'000000 H'000002
H'000001 H'000003
H'01FFFE
H'01FFFF
2 3.1 ROM
H8 S/2 14 8 F-Z TAT A
H8 S/2 14 4 F-Z TAT A
23.1.2
LS I MDC R 2 3.1
23.1
R/W MDCR R/W H'FFC5 *
*
16
705
23.
ROM
23.2
23.2.1
7 EXPE * R/W * R/W* MD1 MD0 0 0 0 0 0 6
MDCR
5 4 3 2 1 MDS1 * R 0 MDS0 * R
MDCR
8
LSI
EXP E
7
EXPE 1 1
2
3
0
7 EXPE 0 1
6
2 0
1
0 MD1 MDS1 MDS0 MD0
1
0
MDS1
MDS0
MD1 MD0 MDC R MD1 MD0
706
23.
ROM
23.3
C PU 16 1 8 8
ROM 23.2 ROM
MD1 MD0
MDCR
EXPE
56k
23.2
ROM
MDCR ROM
MCU
CPU
MD1
MD0 EXPE
1 2
ROM
0 1
1 0
1 0 1 *
ROM 3 ROM * H8S/2148 F-ZTAT A F-ZTAT A 64k H8S/2144 F-ZTAT A 1
0 1 128k
56k
H8S/2147
707
23.
ROM
23.4
23.4.1
4
128
1
1k 8k 32k
28k
16k
128 80 s typ.
10ms typ. 100ms typ.
1
100
2
LS I
3
PROM
708
23.
ROM
23.4.2
16
FLMCR1
*
FLMCR2 * EBR1 * EBR2 *
128k
FLMCR1 FLMCR2 EBR1 EBR2 * ROM
1 2 1 2
23.2
709
23.
ROM
23.4.3
1
2 3.3
1 MD1
ROM
RES
0
RES 0
0
RES
SWE=1
RE
SWE=0
S
0
CPU MD0=MD1=0 MD0=MD1=0 P92=P91=P90=1 P92=0 P91=P90=1
23.3
710
23.
ROM
2
a
1.
2.
LSI LSI RAM RAM SCI SCI
" !
LSI LSI SCI SCI RAM RAM
3.
4.
RAM
RAM
H'FF
SCI
LSI
LSI
SCI
SCI
RAM
RAM
23.4
711
23.
ROM
b
1.
1 RAM
2.
RAM 2

, !
LSI LSI SCI SCI RAM RAM
3.
4.
RAM
H'FF
LSI
LSI
SCI
SCI
RAM
RAM
23.5
712
23.
ROM
3
* *
4
32k 2 28k 1 128k 1k 8k 4 2 16k 1
H'00000
1k 1k 1k 1k
H'00000
1k 1k 1k 1k
28k 16k 8k 8k 128k 64k
28k 16k 8k 8k
H'0FFFF
32k
32k H'1FFFF 128k 64k
23.6
713
23.
ROM
23.4.4
23.3
23.3
RES 1 0 92 91 90 MD1 MD0 P92 P91 P90 TxD1 RxD1 LSI LSI MD1=MD0=0 MD1=MD0=0 MD1=MD0=0 LSI LSI LSI
23.4.5
2 3.4 S TCR F LSHE 1
23.4
R/W 1 2 1 2 FLMCR1*5 FLMCR2*5 EBR1*5 EBR2*5 STCR R/W*3 R/W*3 R/W*3 R/W*3 R/W H'80 H'00*4 H'00*4 H'00*4 H'00 *1 H'FF80*2 H'FF81*2 H'FF82*2 H'FF83*2 H'FFC3
*1 *2 FLSHE *3
16 ST CR H' 00 H'00 8
*4 FLMCR1 SWE * 5 FL MCR 1 FL MCR 2 EBR 1 EBR 2 2 ROM
714
23.
ROM
23.5
23.5.1
7 FWE 1 R/W R 6 SWE 0 R/W 0 0 5 4
1
FLMCR1
3 EV 0 R/W 2 PV 0 R/W 1 E 0 R/W 0 P 0 R/W
F LMCR 1 SWE 1
8
SWE 1 FLMCR2 PSU SWE 1 FLMCR1 P FLMCR2 ESU E
H'80 H'00 FLMCR1 SWE 1 ESU 1 EV PV P SWE 1 E
SWE 1 PSU 1
7
FWE
1
6
SWE ESU PSU EV
PV E P
EB 9
EB 0
6 SWE 0 1
5
4 0
715
23.
ROM
3
EV SWE ESU PSU
PV
EP
3 EV 0 1 SWE 1
2
PV SWE ESU PSU
EV E P
2 PV 0 1 SWE 1
1
E SWE ESU PSU EV PV P
1 E 0 1 SWE 1 ESU 1
716
23.
ROM
0
P SWE PSU ESU EV PV
E
0 P 0 1 SWE 1 PSU 1
23.5.2
7 FLER 0 R/W R 0 0 0 6 5 4
2
3 0
FLMCR2
2 0 1 ESU 0 R/W 0 PSU 0 R/W
FLMCR2
8 H'00
F LMCR 2 ES U P SU 0
H'00
7
FLER
FLER 1
7 FLER 0
1
23.8.3
717
23.
ROM
6
2 0
1
ESU FLMCR1 E 1
1
SWE PSU EV PV
EP
1 ESU 0 1 SWE 1
0
PSU FLMCR1 1 SWE ESU EV PV EP P 1
0 PSU 0 1 SWE 1
718
23.
ROM
23.5.3
7 EBR1 0 R/W *2 7 EBR2 R/W *1 *2 EB7 0 R/W*1 0 *2 6 EB6 0 R/W 6
1
5 0 *2 5 EB5 0 R/W 0
2
EBR1
4 0 *2 4 EB4 0 R/W 3 0 *2 3 EB3 0 R/W
EBR2
2 0 *2 2 EB2 0 R/W 1 EB9/ 0 R/W*1,2 1 EB1 0 R/W *2 0 EB8/ 0 R/W*1,2 0 EB0 0 R/W *2
1
EB R1 1 0 128k EBR2 7 0 EBR1
EB R2 FLMCR1 H'00 1 EB R1 EB R2 1 2 EB R1 EB R2 SWE
H'00 23.5
23.5
128k EB0 1k EB1 1k EB2 1k EB3 1k EB4 28k EB5 16k EB6 8k EB7 8k EB8 32k EB9 32k
64k EB0 1k EB1 1k EB2 1k EB3 1k EB4 28k EB5 16k EB6 8k EB7 8k H'(00)0000 H'(00)03FF H'(00)0400 H'(00)07FF H'(00)0800 H'(00)0BFF H'(00)0C00 H'(00)0FFF
H'(00)1000 H'(00)7FFF H'(00)8000 H'(00)BFFF H'(00)C000 H'(00)DFFF
H'00E000 H'00FFFF H'010000 H'017FFF H'018000 H'01FFFF
719
23.
ROM
23.5.4
7 IICS 0 R/W R/W 6 IICX1 0 R/W 5 IICX0 0 R/W 4 IICE 0 R/W
STCR
3 FLSHE 0 R/W 0 R/W 2 1 ICKS1 0 R/W 0 ICKS0 0 R/W
S TCR
8 IIC 3 .2 .4 STCR
II C TCNT
S TCR
1
STCR
H'00
7 IIC
4
I 2C I2C
IICS IICX1 IICX0 IICE
16
I 2C
3 FLSHE 1 0
FLSHE
3 FLSHE 0 1
2 1
1 8
0
1
0
ICKS1 12
ICKS0 8
720
23.
ROM
23.6
2 2 3.6 23.3
MD0 56k
23.6
CPU
MD1 0 1
MD0 0 0 1
P92 1*
P91 1*
P90 1*
*
I/O
721
23.
ROM
23.6.1
SCI 1
LSI
S CI
LS I R AM
LS I
S CI
2 3.7
2 3.8
LSI
RxD1 SCI1 TxD1 RAM
23.7
722
23.
ROM
H'00 LSI H'00 LSI
Low
LSI H'00 1
H'00 H'55 LSI H'AA H'55 1
N
LSI
n
1
LSI n 1 n
RAM No Yes
n
N
LSI
LSI ID
ID Yes LSI H'AA 1
No
LSI
ID H'FF
1
RAM
H'FF
1
23.8
723
23.
ROM
1
SCI
D0 D1 D2 D3 D4 D5 D6 D7
Low
9
H'00
1 High
23.9 SCI
RxD1
LSI H'00 1 Low H'00 1 LSI H'55 1 H'00 Low SCI LS I
SCI 8
LSI LSI 4800bps 9600bps 19200bps LSI 2 3.7 SCI
23.7
LSI
LSI
19200bps 9600bps 4800bps
8MHz 20MHz 4MHz 20MHz 2MHz 18MHz
724
23.
ROM
2
128
RAM
H'(F F) E880 23.10 H'(F F) E080 2048 64k H'(F F) E080 H'(F F) E087 8 R AM 2 3.10 ID H'(F F) E87F H'(F F) EFF F 1920 H'(F F) FF 00 H'(F F) FF 7F
H'(FF)E080 H'(FF)E088
ID
*1
*1 2040 H'(FF)E880 *2 1920 H'(FF)EFFF H'(FF)FF00 H'(FF)FF7F 128 *2
*1 *2
64k
RAM
23.10
RAM
LS I
8
ID
LS I
H'(FF)E080
40
FE ID
64
66
32
31
34
39
H'(FF)E088
8
ID
725
23.
ROM
3
a LS I R xD1 R xD1 100 High Low LS I S CI R xD1 Low
b 1
c
d
RxD1
TxD1
e
LSI SCI BRR 1
RAM SCR
H'(FF)E088 RE 0 TE 0
TxD1 P84DDR 1 P84DR 1 C PU
High
SP
f
23.6
LS I P91 P90 I/O
*1
P 92
Low *1
20 WDT
726
23.
ROM
g
Low
High
AS
RD
HWR
*2
*1 tMDS 4 *2 Low 1
1
High
727
23.
ROM
23.6.2
2 2 S WE 3
3
1
RAM 2 3.11 R AM
MD1 MD0 10
11
RAM
RAM
23.11
728
23.
ROM
23.7
CPU
F LMCR 2
P SU ES U
F LMCR 1
P
E P V EV
RAM 1 . FL MCR1 S WE EV PV E P FL MCR2 E SU P SU
2.
23.7.1
23.12
1
128 1 x y 26 2 FLMCR1 FLMCR2
z1 z2 z3
N
1 FLMCR1 x s 128
SWE
1
R AM 128 8 H'00 H'80 128
128
128 H'F F
WDT F LMCR 2 P SU
y + z2 +
+
s
729
23.
ROM
y P
s
FLMCR1 P
z1
z2
z3
s
23.7.2
FLMCR1 s s PV FLMCR2 PSU
P 0
0
FLMCR1
H'F F s 16
s 23.12 128 s 6 128 SWE 0 s R AM FLMCR1
N
730
23.
ROM
Sub-Routine Write Pulse WDT FLMCR2 PSU-bit s 128Byte FLMCR1
START SWE-bit s
Wait (x)
Wait (y) FLMCR1 Wait (z1) P-bit
*4
n 1 0 128Byte Sub-Routine-Call
s, (z2) P-bit )
s or (z3)
s
*5
m
FLMCR1
Wait ( FLMCR2
s
RAM
*1
PSU-bit ) s Write Pulse z1 s or z2 FLMCR1 End Sub PV-bit ) H'FF Wait ( )
Wait ( WDT
s
*7
Wait (
s
s
*2
NG OK m 6 OK NG 1
n
n
1
*7
n 1 2 3 4 5 6 7 8 9 10 11 12 13 . . . 998 999 1000
z3 s
(z) sec z1 z1 z1 z1 z1 z1 z2 z2 z2 z2 z2 z2 z2 . . . z2 z2 z2
*4 *3 *4
128Byte NG FLMCR1 OK PV-bit ) s NG 6 OK
Wait (
RAM
128Byte)
RAM
128Byte
*1
Write Pulse NG
s NG
128Byte)
m
0
n
1000 OK SWE-bit s
FLMCR1
128Byte)
OK SWE-bit s
FLMCR1
Wait
Wait
*1 128 *2 *3 128 *4 RAM (128 *5 16 (W) (128 ) z1 s or z2 s *6 x, y, z1, z2, z3, , , , , , s )
8
H'00,H'80 H'FF FAIL (128
128
) *7 X'
(D) 0 1
Verify 0 1 0 1 (X') Verify
(V)
1 0 1 1
(X)
(V) 0 1 0 1
0 1 1 1
(Y)
0 1
23.12
731
23.
ROM
23.7.3
1 23.13
1 x 26 y z
2 FLMCR1 FLMCR2 N
1 S WE 1 1 2 EBR1 EBR2 x s 1
F LMCR 1
WDT F LMCR 2 ES U y E 1 z ms s
y+ z+
+
ms
FLMCR1 E
0
23.7.4
F LMCR 1 s F LMCR 2 s 1 ES U
E 0
0
F LMCR 1
EV
H'F F s 16
s
1
N
s F LMCR 1
732
S WE
0
s
23.
ROM
EBR1 EB R2 1
START FLMCR1 SWE-bit s
*1
Wait (x) n1 EBR1 WDT FLMCR2
*2
*4
ESU-bit s
Wait (y) FLMCR1 E-bit
*2
Wait (z) ms FLMCR1 E-bit s
*2
Wait ( ) FLMCR2 ESU-bit
*2
Wait ( ) WDT FLMCR1 EV-bit
s
*2
Wait ( )
s
*2
nn1
H'FF Wait ( ) s
*2 *3
NG
all "1" OK NG OK EV-bit s
FLMCR1
FLMCR1
EV-bit s
Wait ( )
Wait ( )
*2
NG
*2
n (N)
*5
*2 NG
OK FLMCR1 SWE-bit s FLMCR1
OK SWE-bit s
Wait ( )
Wait ( )
*1 *2 x y z *3 *4 EBR1 EBR2 1 *5
"0" N 16 W 2
23.13
733
23.
ROM
23.8
3
23.8.1
1 2 FLMCR1 FLMCR2 23.8 1 2 EBR1 EBR2
23.8
WDT
FLMCR1 EBR1 EBR2
FLMCR2
RES Low AC Low RES RES
RES
23.8.2
FLMCR1 EB R1 EB R2 1 FLMCR1 P E 23.9 SWE 12
23.9
SWE
FLMCR1
SWE
0
RAM 1 2 EBR1 EBR2
EBR1
EBR2
H'00
734
23.
ROM
23.8.3
F LMCR 2 FLER FLMCR2 1 EBR1 EBR2 P EV FLER 1 E PV FLMCR1
2 3 S LEEP
4
CPU
23.14
RES RD VF PR ER FLER 0
0
STBY
0 RD VF PR ER FLER 0
*
*
0 0 S RE BY T S
FLMCR1 FLMCR2 EBR1 EBR2 RES 0 STBY 0
RD VF* PR ER FLER 1
RD VF PR ER FLER 1 FLMCR1 FLMCR2 EBR1 EBR2
*
RD VF PR ER *1 SLEEP SLEEP *2 SLEEP *3 *4
RD VF PR ER
VF
23.14
735
23.
ROM
23.9
FLMCR1 *1 NMI P E
1
2
*2
3
NMI F LMCR 1 P E
*1
*2
2 FLMCR1 P E
736
23.
ROM
23.10
23.10.1
128k * * P ROM 64k R OM 23.10.10 2 3.11
23.10 * H8S/2148 A 3V PROM H8S/2147 A H8S/2144 A 3.3V 5V
A
3.3V
23.10
MD1 STBY RES XTAL EXTAL
MD0
MD1 High
MD0
Low
P97 P92 P91 P90 P67
P92 P67
Low High
P97 P91 P90
23.10.2
PROM 128k 64k
2 3.15 1.3.2
737
23.
ROM
MCU H'000000
H8S/2148 A H8S/2144 A H'00000
MCU H'000000
H8S/2147 A H'00000 ROM
ROM
H'00FFFF
H'0FFFF
H'01FFFF
H'1FFFF
H'1FFFF
23.15
23.10.3
23.11 23.12
128
FO6
738
23.
ROM
23.11
CE L L L *1 *1 *2 Ain H
OE L H H X
WE H H L X
FO7
FO0
FA17 Ain
FA0
Hi-z
X Ain* 2
Hi-z
X
23.12
1 2
1+n 129 2 2
write write write write
X X X X
H'00 H'40 H'20 H'71
read write write write
RA WA X X
Dout Din H'20 H'71
1.
128
129
2.
n
739
23.
ROM
23.10.4
1
2 3 4
23.13 V CC =3.3V 0.3V
AC V SS=0V Ta=25
1 5
MIN MAX s ns ns ns ns ns 30 30 ns ns
t nxtc CE CE t ceh t ces t dh t ds t wep WE WE tr tf
20 0 0 50 50 70
FA17 FA0
ADDRESS STABLE
CE OE WE FO7 FO0
twep
tceh
tnxtc
tces tf tr DATA tdh tds WE DATA
23.16
740
23.
ROM
23.14 V CC =3.3V 0.3V V SS=0V Ta=25 5
MIN t nxtc CE CE t ceh t ces t dh t ds t wep WE WE tr tf 20 0 0 50 50 70
AC
MAX s ns ns ns ns ns 30 30 ns ns
FA17 FA0
ADDRESS STABLE
CE OE WE FO7 FO0 DATA tnxtc tces tf
twep
tceh
tr H'XX tdh tds
WE
OE
23.17
741
23.
ROM
23.15 V CC =3.3V 0.3V
AC V SS=0V Ta=25
2 5
MIN MAX 20 150 150 100 5 s ns ns ns ns
t acc CE OE t ce t oe t df t oh
FA17 FA0
ADDRESS STABLE
ADDRESS STABLE
CE OE WE tacc
FO7 FO0
VIL VIL VIH toh DATA DATA toh
tacc
23.18 CE
OE
FA17 FA0
ADDRESS STABLE
ADDRESS STABLE tacc
CE OE WE tacc FO7 FO0
tce toe tdf DATA toh
tce toe VIH tdf DATA toh
23.19 CE
OE
742
23.
ROM
23.10.5
1 AC
23.16 V CC =3.3V 0.3V AC V SS=0V Ta=25 5
MIN t nxtc CE CE t ceh t ces t dh t ds t wep t wsts t spa t as t ah t write WE WE tr tf 0 60 1 3000 30 30 20 0 0 50 50 70 1 150 MAX s ns ns ns ns ns ms ns ns ns ms ns ns
FA17 FA0
CE OE twep WE FO7 tces tf tds tdh FO6 tr tceh tnxtc
ADDRESS STABLE tas tah
tnxtc 1 128 twsts tspa twrite 1 3000ms
FO7
FO0
H'40
DATA
DATA
FO5 FO0 0
23.20
743
23.
ROM
2
a 128 b 128 128 H'FF 128
c
8
H'00 H'80
d
2
2 3.20
3
e f 2 g FO6 F O7 128 1
h
FO6 FO7 C E OE
744
23.
ROM
23.10.6
1 AC
23.17 V CC =3.3V 0.3V AC V SS=0V Ta=25 5
MIN t nxtc CE CE t ceh t ces t dh t ds t wep t ests t spa t erase WE WE tr tf 100 20 0 0 50 50 70 1 150 40000 30 30 MAX s ns ns ns ns ns ms ns ms ns ns
FA17
FA0
CE OE
tces
tceh tspa tnxtc twep tests terase 100 40000ms tnxtc
WE
tf
tds
tr
tdh
FO7 FO6
CLin FO7 FO0 H'20
DLin H'20 FO5 0 0
23.21
745
23.
ROM
2
a b c FO6 F O7
d
FO6 FO7 C E OE
23.10.7
1
2
23.18 V CC =3.3V 0.3V
AC V SS=0V Ta=25 5
MIN t nxtc 20 0 0 50 50 70 150 100 150 30 30 MAX s ns ns ns ns ns ns ns ns ns ns
CE CE
t ceh t ces t dh t ds t wep
OE
t oe t df
CE WE WE
t ce tr tf
746
23.
ROM
FA17
FA0
CE tce OE twep WE tces tf tds tceh tr tdh FO7 FO0 H'71 FO2 FO3 tnxtc tces tf tds H'71 twep tceh tr tdh DATA tnxtc toe tdf
tnxtc
23.22
23.19
FO7 FO6 FO5 FO4 FO3 FO2 FO1 FO0
or
0 0 1
0
0
0
0
0
0
0
1 0
1 0
1 0
1 0
1 0
FO2
FO3
23.10.8
1 FO7
2 FO6
23.20
FO7 FO6 FO0 FO5
0 0 0
1 0 0
0 1 0
1 1 0
747
23.
ROM
23.10.9
23.21
MIN t osc1 t bmv VCC t dwn 20 10 0 MAX ms ms ms
VCC RES
tosc1
tbmv
tdwn
Don't care
23.23
23.10.10
1
2
1.
2.
1
748
23.
ROM
23.11
PROM 64k 3.3V
128k
HN28F101
5.0V
VCC
RES
Low
FLMCR1 E
P
SWE SWE 100 S WE s S WE
S WE
749
23.
ROM
NMI
128 128 1
1
PROM
23.12 F-ZTAT
F -ZTAT 23.22 23.22 F -ZTAT 23.22 F-ZTAT
ROM
R OM
23.22 F-ZTAT
ROM
1 2 1 2
FLMCR1 FLMCR2 EBR1 EBR2
H'FF80 H'FF81 H'FF82 H'FF83
750
24.
24
24.1 ....................................................................................................................................... 753 24.1.1 24.1.2 24.2 24.2.1 24.2.2 24.3 ............................................................................................ 753 ......................................................................................... 753 ................................................................................................................... 754 SBYCR LPWRCR ......................................... 754 ....................................... 755
.................................................................................................................................... 756 24.3.1 24.3.2 ..................................................................... 756 .................................................................. 758 ................................................................................................................ 761 ................................................................................................................ 761 ................................................................................................... 761 ............................................................................................................. 761 ...................................................................................................... 762 ................................................................................................................... 763
24.4 24.5 24.6 24.7 24.8 24.9
24.
752
24.
24.1
LSI CPG Clock Pulse Generator
24.1.1
24.1
EXTAL XTAL /2 /32
SUB
EXCL CPU DTC WDT1
24.1
24.1.2
SBYCR LPWRCR 24.1
24.1
R/W SBYCR LPWRCR * 16 R/W R/W H'00 H'00 H'FF84 H'FF85 *
753
24.
24.2
24.2.1 SBYCR
S BYC R
8
2 25.2.1 SBYCR
0 SBYCR H'00
2
0
2
0
SCK2 SCK0
S CK2 SCK0 0
2 SCK2 0 SCK1 0
1 SCK0 0 1 1 0 1
0
2 4 8 16 32
1
0
0 1
1
754
24.
24.2.2
LPWRCR
LP WR CR
8
4 LPWRCR LP WR CR H'00
25.2.2
4 EXCL
EXCLE
4 EXCLE 0 1 EXCL EXCL
755
24.
24.3
2
24.3.1
1
24.2 AT Rd 24.2
CL1 EXTAL XTAL Rd CL2 CL1 CL2=10 22pF
24.2
24.2
MHz Rd 2 1k 4 500 8 200 10 0 12 0 16 0 20 0
756
24.
2
2 4.3 2 4.3
CL L XTAL AT Rs EXTAL
C0
24.3
24.3
MHz Rs max C0 max pF 2 500 4 120 8 80 10 70 7 12 60 16 50 20 40
3
24.4
XTAL EXTAL
A CL2
B LSI XTAL EXTAL
CL1
24.4
757
24.
24.3.2
1
2 4.5 10pF 24.5 b High XTA L
EXTAL XTAL
a
XTAL
EXTAL XTAL
b
XTAL
24.5
2
24.4 24.6
758
24.
24.4
VCC 2.7 min t EXL Low t EXH High t EXr 10 5 ns 40 20 ns 40 5.5V VCC 5.0V max min 20 10% max ns 24.6
t EXf
10
5
ns
t CL Low t CH High
0.4 80 0.4 80
0.6
0.4 80
0.6
t cyc ns
5MHz 5MHz 5MHz 5MHz
26.5
0.6
0.4 80
0.6
t cyc ns
tEXH
tEXL
EXTAL
VCC
0.5
tEXr
tEXf
24.6
2 4.5
2 4.7 EXTA L EXTA L tDEXT tDE XT Low
759
24.
24.5 V CC =2.7V 5.5V AVCC =2.7V 5.5V V SS=AVSS=0V
min. t DEXT* * t DEXT RES t RESW 500 max. s 24.7
VCC
2.7V
STBY
VIH
EXTAL
RES tDEXT*
*
tDEXT
RES
tRESW
24.7
760
24.
24.4
5MHz
24.5
2 32 4 8 16
24.6
S BYC R SCK0 4 8 16 32 S CK2 2
24.7
EXCL
1
EXCL P 9DDR 1 24.6 24.8 P 96DDR 0 32.768KHz LP WR CR EXC LE
761
24.
24.6
VCC 2.7 min t EXCLL Low t EXCLH High t EXCLr 10 ns 15.26 s typ 15.26 5.5V max s 24.8
t EXCLf
10
ns
tEXCLH
tEXCLL
EXCL
VCC
0.5
tEXCLr
tEXCLf
24.8
2
24.8
EXCL LPWRCR 25.2.2 NESEL LPWRCR
762
24.
24.9
EXTAL XTAL
EXCL CPU TMR0 1 WD T0 1 SUB S UB
763
24.
764
25.
25
25.1 ....................................................................................................................................... 767 25.1.1 25.2 25.2.1 25.2.2 25.2.3 25.2.4 25.3 25.4 ......................................................................................... 771 ................................................................................................................... 772 SBYCR LPWRCR ......................................... 772 ....................................... 774 ............................... 776 .......................... 777
TCSR
MSTPCR
............................................................................................................................. 778 ....................................................................................................................... 779 25.4.1 25.4.2 ...................................................................................... 779 ............................................................................ 779 ...................................................................................................... 780 25.5.1 25.5.2 ..................................................................... 780 ......................................................................................... 781 ............................................................................................... 782 25.6.1 25.6.2 25.6.3 25.6.4 25.6.5 .............................................................. 782 .................................................... 782 ................... 783 ................................................. 783 ......................................................................................... 784 ............................................................................................... 785 25.7.1 25.7.2 .............................................................. 785 .......................................... 785
25.5
25.6
25.7
25.8
....................................................................................................................... 786 25.8.1 25.8.2 ...................................................................................... 786 ............................................................................ 786 ................................................................................................................ 787
25.9
25.
25.9.1 25.9.2 25.10 25.10.1 25.10.2 25.11
............................................................................... 787 ..................................................................... 787 ............................................................................................................. 788 ............................................................................ 788 .................................................................. 788
................................................................................................................................. 789 25.11.1 ...................................................................................... 789
766
25.
25.1
LSI CPU CPU
LSI 1 2 3 4 5 6 7 8 9 2 CPU 9 CPU CPU CPU
DTC
2 5.1
LS I
2 5.2
25.1
767
25.
25.1
LSI
CPU
NMI IRQ0 IRQ1 IRQ2 DTC WDT1 ( WDT0 TMR0,1 FRT TMRX,Y / ) / ( )
IIC0 IIC1 SCI0 SCI1 SCI2 PWM PWMX HIF,PS2 D/A A/D RAM DTC I/O ( / ) ( ) ( ) ( ) ( )
768
25.
STBY
Low
STBY RES RES High
High, Low
SSBY 0, LSON 0 SLEEP
*3 SCK2 SCK0 0 SCK2 SCK0 0 SSBY 1, PSS 0, LSON 0
SLEEP
*4 SLEEP *1, LSON SLEEP SSBY 1, PSS 1, DTON 1, LSON 0 STS2 0 SLEEP SSBY 1, PSS 1, DTON 1, LSON 1 0 SSBY 1, PSS 1, DTON 0
SLEEP
*1, LSON SLEEP *2 1
SSBY 0, PSS 1, LSON 1
1. 2. 3. 4. STBY Low RES Low
*1 NMI IRQ0 IRQ2 IRQ6 IRQ7 *2 NMI IRQ0 IRQ7 WDT0 *3 *4 NMI IRQ0 IRQ2 IRQ6 IRQ7
WDT1 WDT1
TMR0
TMR1
25.1
769
25.
25.2
SLEEP SSBY PSS LSON DTON 0 0 1 1 1 1 1 1 0 0 0 1 1 1 1 1 Don't care 0 0 1 1 1 1 0 1 1 0 1 1 1 1 0 1 0 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 0 1 1
770
25.
25.1.1
SBYCR 25.3 LPWRCR TCSR WDT1 MSTPCR
25.3
R/W SBYCR LPWRCR TCSR WDT1 MSTPCRH MSTPCRL *1 *2 STCR FLSHE 16 R/W R/W H'3F H'FF H'FF86* 2 H'FF87* 2 R/W R/W R/W H'00 H'00 H'00 *1 H'FF84* 2 H'FF85* 2 H'FFEA
771
25.
25.2
25.2.1 SBYCR
S BYC R
8
SBYCR
H'00
7 SLEEP
SSBY
SSBY
7 SSBY 0 SLEEP
SLEEP
1
SLEEP
SLEEP
6
4
2
0
STS2 STS0
MCU 8ms
25.4
772
25.
6 STS2 0 STS1 0
5 STS0 0 1 1 0 1
4
8192 16384 32768 65536 131072 262144
1
0
0 1
1
0 1 16 *
*
3 0
2
0
2
0
SCK2 SCK0
S CK2 SCK0 0
2 SCK2 0 SCK1 0
1 SCK0 0 1 1 0 1
0
2 4 8 16 32
1
0
0 1
1
773
25.
25.2.2
LPWRCR
LP WR CR
8
LP WR CR
H'00
7 SLEEP
DTON
SLEEP
7 DTON 0 SLEEP * SLEEP
1 *
SLEEP
SLEEP
*
6 SLEEP
LSON
774
25.
6 LSON 0 SLEEP * SLEEP
1 *
SLEEP
SLEEP
*
5 EXCL
NESEL SUB 5MHz 0
5 NESEL 0 1 32 4
4 EXCL
EXCLE
4 EXCLE 0 1 EXCL EXCL
3
0 0
775
25.
25.2.3
TCSR1
TCSR
IT * *
NMI
TCSR1
8
WDT1
TCNT
4 TCSR TCSR1 H'00
14.2.2
4 WDT1 TCNT
PSS
SLEEP
14.2.2 2 0
TCSR
4 PSS 0 TCNT PSM SLEEP
1
TCNT
SUB
PSS SLEEP * SLEEP *
*
776
25.
25.2.4
MSTPCR
MSTPCR
8
2
MST PC R
H'3F FF
MSTPCRH MSTPCRL
7
0
MSTP15
MSTP0 2 5.4
MSTPCRH MSTPCRL 70
MSTP15 MSTP0
0 1
MSTP15 MSTP14 MSTP13 MSTP0
777
25.
25.3
SBYCR SCK2 SCK0 1 C PU 2 DTC 4 8 16 32 S CK2 S CK0 C PU
4 I/O SCK2 SCK0 8 0
4
S BYC R
S SB Y
0
LP WR CR
LS ON
0
S LEEP
S SB Y 0 SLEEP
1
LP WR CR
LS ON
0
TC SR
WD T1
P SS
R ES
Low
STBY
Low 25.2
SBYCR
SBYCR
25.2
778
25.
25.4
25.4.1
S BYC R S SB Y 0 LP WR CR LS ON 0 C PU CPU S LEEP C PU CPU
25.4.2
RES STBY
1
NMI CPU
2
RES
R ES RES Low High CPU
3
STBY
STBY Low
779
25.
25.5
25.5.1
MSTPCR
MSTP
1 C PU
MSTP MSTP 0
25.4
SCI A/D
PWM 14
PWM
DTC
780
25.
25.4 MSTP
MSTPCRH MSTP15 MSTP14* MSTP13 MSTP12 MSTP11 MSTP10 MSTP9 MSTP8 MSTPCRL MSTP7 MSTP6 MSTP5 MSTP4* MSTP3* MSTP2 I 2C IC
2
DTC 16 8 8 D/A A/D 8 TMRX TMRY 0 SCI0 1 SCI1 2 SCI2 IIC IIC HIF KMIMR A KMIMRA 6 MOS PS2 KMPCR TMR0 TMR1 PWM 14 PWMX FRT
MSTP1* MSTP0* 15 1 10
*
H8S/2144
1
25.5.2
DTC MSTP DTC H8S /2144 1 1 MSTP 1 MST P DTC
781
25.
25.6
25.6.1
S BYC R S SB Y S LEEP CPU RAM I/O SCI PWM PWMX CPU 1 LP WR CR LS ON 0 TC SR WD T1 P SS 0
25.6.2
NMI IRQ7 RES STBY IRQ0 IRQ2 IRQ6
1
NMI IRQ0 IRQ2 IRQ6 IRQ7 S BYC R LSI S TS2 S TS0
IRQ0 IRQ2 IRQ6 IRQ7 C PU
0
2
RES
R ES Low LSI Low CPU R ES RES High
3
STBY
STBY Low
782
25.
25.6.3
SBYCR STS2 STS0
1
8ms STS2 STS0
25.5
STS2 STS0
25.5
STS2 STS1 STS0 0 0 0 1 1 0 1 1 0 0 1 1 0 1 16 * 0.8 1.0 1.3 1.6 2.0 2.7 4.0 8.0 s 8192 16384 32768 65536 131072 262144 20MHz 16MHz 12MHz 10MHz 8MHz 6MHz 4MHz 2MHz 0.41 0.82 1.6 3.3 6.6 13.1 0.51 1.0 2.0 4.1 8.2 16.4 0.65 1.3 2.7 5.5 10.9 21.8 0.8 1.6 3.3 6.6 13.1 26.2 1.0 2.0 4.1 8.2 16.4 32.8 1.3 2.7 5.5 10.9 21.8 43.6 2.0 4.1 8.2 16.4 32.8 65.6 4.1 8.2 16.4 32.8 65.5 131.2 ms
Don't care *
2
25.6.4
NMI 25.3 SYSCR NMI SSBY 1 NMIEG 0 NMIE G SLEEP 1 NMI
NMI
783
25.
NMI
NMIEG
SSBY
NMI NMIEG 1 SSBY 1 SLEEP tOSC2
NMI
25.3
25.6.5
I/O High
784
25.
25.7
25.7.1
STBY Low
RAM RAM RAME 0
I/O STBY Low SYSCR
MD1
MD0
STBY R ES Low S TBY High RES 8ms R ES High Low
RES
25.7.2
25.4 R ES Low S TBY STBY RES Low High Low High
RES
STBY
25.4
785
25.
25.8
25.8.1
S BYC R LP WR CR DTO N CPU CPU CPU I/O WDT1 RAM 0 TC SR WD T1 P SS 1 S SB Y S LEEP 1
25.8.2
WOVI1 IRQ7 RES STBY NMI IRQ0 IRQ2 IRQ6
1
LPWRCR LSON S BYC R LSI IRQ0 IRQ2 IRQ6 IRQ7 0 1 S TS2 S TS0 LSON 0
CPU
25.6.3
2
RES
RES RES 25.6.2 2
3
STBY
STBY Low
786
25.
25.9
25.9.1
SBYCR 1 TCSR WDT1 PSS 1 SSBY SLEEP 0 LPWRCR LSON CPU
C PU
TMR 0,1 CPU
WD T0,1
RAM
I/O
25.9.2
NMI IRQ7 RES STBY IR Q0
1
IR Q0 IR Q7 0
C PU
2
RES
RES RES 25.6.2 2
3
STBY
STBY Low
787
25.
25.10
25.10.1
S BYC R LSON CPU LPWRCR LSON 1 1 TCSR WDT1 S SB Y PSS 1 1 LP WR CR SLEEP DTO N 1
CPU TMR 0,1 WD T0,1
SBYCR 0
SCK2 SCK0
25.10.2
SLEEP RES STBY
1
SLEEP
SBYCR 1 SSBY SLEEP SBYCR WD T1 P SS S BYC R 0 TCSR WDT1 1 S SB Y PSS 1 SSBY S LEEP 1 LP WR CR SLEEP DTO N 1 LS ON 0 LPWRCR LSON 1 TCSR 1 LPWRCR DTON 0 TCSR WDT1 PSS
25.11
2
RES
RES RES 25.6.2 2
3
STBY
STBY Low
788
25.
25.11
25.11.1
CPU 3 LP WR CR DTON
1
SBYCR 1 TSCR WDT1 SSBY PSS 1 1 LPWRCR LSON SLEEP 1 DTON
2
S BYC R DTON S BYC R 1 S TS2 TSCR WDT1 S TS0 S SB Y PSS 1 1 LP WR CR SLEEP LS ON 0
789
25.
790
26.
26
26.1 26.2 H8S/2148 F-ZTAT 26.2.1 26.2.2 26.2.3 26.2.4 26.2.5 26.2.6 26.2.7 26.3 H8S/2148 F-ZTAT (A H8S/2147 26.3.1 26.3.2 26.3.3 26.3.4 26.3.5 26.3.6 26.3.7 26.4 H8S/2147N F-ZTAT 26.4.1 26.4.2 26.4.3 26.4.4 26.4.5 26.4.6 26.4.7 26.5 DC AC A/D D/A DC AC A/D D/A ROM DC AC A/D D/A ................................................................................................................ 793 ................................................................................................ 796 ......................................................................................... 796 ................................................................................................. 797 ................................................................................................. 810 ......................................................................................... 817 ......................................................................................... 819 ............................................................................ 820 ......................................................................................... 822 ) H8S/2147 F-ZTAT (A ) H8S/2148 ROM
..................................................................................... 823 ......................................................................................... 823 ................................................................................................. 825 ................................................................................................. 837 ......................................................................................... 845 ......................................................................................... 847 ............................................................................ 848 ......................................................................................... 850 ............................................................................................. 851 ......................................................................................... 851 ................................................................................................. 852 ................................................................................................. 860 ......................................................................................... 868 ......................................................................................... 870 ............................................................................ 871 ......................................................................................... 873 ROM ........................... 874
H8S/2144 F-ZTAT H8S/2142 F-ZTAT H8S/2142
26.
26.5.1 26.5.2 26.5.3 26.5.4 26.5.5 26.5.6 26.5.7 26.6 H8S/2144 F-ZTAT (A DC AC A/D D/A
......................................................................................... 874 ................................................................................................. 875 ................................................................................................. 882 ......................................................................................... 886 ......................................................................................... 888 ............................................................................ 889 ......................................................................................... 891 ) H8S/2144 ROM H8S/2143 ROM
............................................................................................................................. 892 26.6.1 26.6.2 26.6.3 26.6.4 26.6.5 26.6.6 26.6.7 26.7 DC AC A/D D/A ......................................................................................... 892 ................................................................................................. 893 ................................................................................................. 901 ......................................................................................... 905 ......................................................................................... 907 ............................................................................ 908 ......................................................................................... 910
....................................................................................................................... 911 26.7.1 26.7.2 26.7.3 26.7.4 26.7.5 AC .................................................................................... 911 ............................................................................... 911 ............................................................................... 912 ...................................................................................... 913 .............................................................. 916
792
26.
26.1
26.1 26.1
5V HD64F2148 HD64F2144 HD64F2142R HD64F2148V HD64F2144V HD64F2142RV
1
F-ZTAT
3V
VCC1 VCC2 VCCB *
VCC 5.0V VCC 4.0V VCCB 5.0V VCCB 4.0V
10% fop 5.5V fop
2 20MHz 2 16MHz 2 20MHz 2 16MHz 2 20MHz 2 16MHz
VCC1 VCC2 VCCB *
VCC 3.0V
5.5V
fop
2 10MHz
10% fop 5.5V fop
VCCB 3.0V
5.5V
fop
2 10MHz
AVCC
AVCC 5.0V AVCC 4.0V *
10% fop 5.5V fop
AVCC
AVCC 3.0V
5.5V
fop
2 10MHz
H8S/2148
26.1
5V HD64F2147N
2
F-ZTAT
3V HD64F2147NV
VCC1 VCC2 VCCB AVCC
VCC 5.0V
10% fop
2 20MHz
VCC1 VCC2
VCC 3.0V
5.5V
fop
2 10MHz
VCCB 5.0V AVCC 5.0V
10% fop 10% fop
2 20MHz 2 20MHz
VCCB AVCC
VCCB 3.0V AVCC 3.0V
5.5V 5.5V
fop fop
2 10MHz 2 10MHz
793
26.
26.1
5V HD64F2148A HD64F2147A HD64F2144A
3
F-ZTAT A
3V HD64F2148AV HD64F2147AV HD64F2144AV
VCC1
VCC 5.0V VCC 4.0V
10% fop 5.5V fop
2 20MHz 2 16MHz
VCC1
VCC 2.7V CIN
3.6V
fop
2 10MHz 3.6V
VCC 3.0V
VCL VCC2 VCCB *
VCL C
VCL VCC2
VCL VCC
VCCB 5.0V VCCB 4.0V
10% fop 5.5V fop
2 20MHz 2 16MHz 2 20MHz 2 16MHz
VCCB
*
VCCB 2.7V CIN
5.5V
fop
2 10MHz 5.5V
VCCB 3.0V 3.6V fop
AVCC
AVCC 5.0V AVCC 4.0V *
10% fop 5.5V fop
AVCC
AVCC 2.7V CIN
2 10MHz 3.6V
AVCC 3.0V
H8S/2148
26.1
5V HD6432148S HD6432148SW HD6432147S HD6432147SW HD6432144S HD6432143S VCC1 VCC 5.0V 10%
4
4V
ROM
3V
VCC 4.0V
5.5V
VCC 2.7V CIN
3.6V VCC 3.0V 3.6V
VCL VCC2 VCCB *
VCL C
VCL C
VCL VCC
VCCB 5.0V
10%
VCCB 4.0V
5.5V
VCCB 2.7V CIN
5.5V VCCB 3.0V 3.6V AVCC 3.0V 3.6V 5.5V
AVCC
AVCC 5.0V
10%
AVCC 4.0V
5.5V
AVCC 2.7V CIN
* 794
H8S/2148
26.
26.1
5V HD6432142
5
4V
ROM
3V
VCC1 VCC2 AVCC
VCC 5.0V
10%
VCC 4.0V
5.5V
VCC 2.7V
5.5V
AVCC 5.0V
10%
AVCC 4.0V
5.5V
AVCC 2.7V
5.5V
795
26.
26.2 H8S/2148 F-ZTAT
26.2.1
26.2
26.2
*
VCC VCCB A 67A Vin Vin 6 CIN Vin A CIN Vin 6 CIN Vin A CIN 7 Vin AVref AVCC VAN Topr
- 0.3 - 0.3
+7.0 +7.0
V V
- 0.3 - 0.3
VCC + 0.3 VCC + 0.3
V V
- 0.3
VCCB + 0.3
V
- 0.3
VCC + 0.3
AVCC + 0.3
V
- 0.3
VCCB + 0.3
AVCC + 0.3
V
- 0.3 - 0.3 - 0.3 - 0.3
AVCC + 0.3 AVCC + 0.3 +7.0 AVCC + 0.3 -20 +75 -40 +85
V V V V
Topr FLASH Tstg - 55 +125
0 +75 0 +85
LSI * VCC1 VCC2
LSI
796
26.
26.2.2
DC
DC 26.5 26.3 26.4
26.3 DC V CC 5.0V
1 10 V CC B *9
1 VT VT VT - VT 01) VT V
+ T + T - T
5.0V
10
AVCC *1 5.0V *9
max
10
AVref*1 4.5V
AVCC
V SS AVSS*1 0V Ta -20 +75
P67 P60 (KWUL 00) * *
7 8 3 2 6
Ta -40 +85
min 1.0 typ
V VCC 0.7 VCCB 0.7
KIN15 KIN8* * IRQ2 IRQ0* IRQ5 IRQ3
0.4
P67 P60 (KWUL
VCC 0.3 VCC 0.7 VCC 0.05 VCC 0.4 VCC 0.8
- T
V
V -V *
6
P67 P60 (KWUL
10)
VT V
+ T + T
V -V P67 P60 (KWUL 11) VT V
+ T + T
VCC 0.03 VCC 0.45 VCC 0.9
V -V High RES MD1 STBY MD0 NMI 2 VIH
- T
0.05 VCC - 0.7 VCC 0.7 VCC + 0.3 VCC + 0.3 VCCB + 0.3 AVCC + 0.3 VCC + 0.3 0.5 1.0 0.8 V V
EXTAL PA7 PA0* 7 (1)(2) Low RES STBY MD1 PA7 PA0 NMI EXTAL (1)(3) High (P97 P52 * )* *
4 5 4 5 8 7
VCCB 0.7 2.0 2.0 MD0 3 VIL - 0.3 - 0.3 - 0.3
VOH
VCC - 0.5 VCCB - 0.5 3.5
V I OH V I OH V I OH 0.4 1.0 0.4 V I OL V I OL V I OL
- 200
A
- 1mA - 1mA 1.6mA 10mA 2.6mA
P97 P52* Low RESO
2.5 (RESO )* VOL
123
797
26.
*1 A/D A/ D 2.0V
D/A D/ A 5.5V
AVCC AVref AVSS AVC C AVref AVref AVCC VC C
*2 P67 P60 *3 IRQ2 *4 H8S/2148 SCL0 SDA0 ICE=1 ADTRG P52/SCK0/SCL0 P97/SDA0 High P52/SCK0 P97 ICE=0 KBIOE=0 High NMOS Low NMOS
H8S/2148 *5 IICS=0 ICE=0
*6
6
CI N
VC C+0. 3V CI N
VC C+0. 3V
AVC C+0. 3V
*7
A
CIN
VCCB+0.3V CIN
VCCB+0.3V
AVCC+0.3V
*8 *9
A
VCCB Ta
VCC 0 +75 Ta 0 +85
798
26.
26.3 DC V CC 5.0V
2 10 V CC B *5
I in
5.0V
10
AVCC *1 5.0V *5
max 10.0 1.0 1.0
10
AVref*1 4.5V
AVCC
V SS AVSS*1 0V Ta -20 +75
RES STBY NMI MD1 7 16 8 9 A* 13 MOS A*
4 4
Ta -40 +85
min typ
A Vin Vin A Vin Vin
0.5 0.5 0.5 0.5 0V
VCC - 0.5V AVCC - 0.5V VCC - 0.5V VCCB - 0.5V
MD0 I TSI B - Ip 50 60 0 1 4 Cin 15
1.0
300 500 150 80 50 20 15
A Vin
B
6 P6PUE 6 P6PUE RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
pF Vin f Ta
0V 1MHz 25
I CC * A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1 3
85 70 0.01
120 100 5.0 20.0 2.0 5.0 1.0 5.0 5.0 5.5 5.5
mA f mA f A Ta 50 mA
20MHz 20MHz 50 Ta 5.5V
AI CC AIref
1.2 0.01 0.5 2.0 0.01
A AVCC=2.0V mA A AVref=2.0V V V
AVCC
AVCC VRAM
4.5 2.0 2.0 AVCC AVref AVSS AVCC AVref AVref
RAM *1 A/D A/D 2.0V *2 D/A D/A 5.5V VI H min VCC - 0.5V
VCC AVCC
VCCB - 0.5V MOS
VI L max 0.5V
*3 VRAM VCC 4.5V *4 *5 A VCCB
VI H min VCC 0.9
VCCB 0.9 VCC Ta
VI L max 0.3V
0 +75
Ta
0 +85
799
26.
26.3 DC V CC 4.0V
3 5.5V*9 V CC B *9 4.0V 5.5V AVCC *1 4.0V *9
max V VCC 4.5V VCC 0.7 VCCB 0.7 VT - VT VT VT 0.4 0.8 VCC 0.7 VCCB 0.7 VT - VT 0.3 VCC 0.3 VCC 0.7 VCC 0.05 VCC 0.4 VCC 0.8 VCC 0.03 VCC 0.45 VCC 0.9 0.05 VCC - 0.7 VCC + 0.3 V V VCC 4.0V 5.5V V VCC 4.5V VCCB 4.5V VCCB 4.5V 5.5V 5.5V
5.5V AVref*1 4.0V
AVCC
V SS AVSS*1 0V Ta -20 +75 Ta -40 +85
min P67 P60 (KWUL 00) * *
2 6
typ
1
VT VT
1.0
KIN15 KIN8* 7* 8 IRQ2 IRQ0* 3 IRQ5 IRQ3
P67 P60 (KWUL
01)
VT VT+ VT+ - VT-
*
6
P67 P60 (KWUL
10)
VT VT+ VT+ - VT-
P67 P60 (KWUL
11)
VT V
+ T
VT+ - VT- High RES MD1 STBY MD0 NMI 2 VIH
EXTAL PA7 PA0* 7 7 (1)(2) Low RES STBY MD1 PA7 PA0 MD0 3 VIL
VCC 0.7 VCCB 0.7 2.0 2.0 - 0.3 - 0.3 - 0.3
VCC + 0.3 VCCB + 0.3 AVCC + 0.3 VCC + 0.3 0.5 1.0 0.8 0.8 V VCCB 4.5V VCCB 4.5V 5.5V
NMI EXTAL (1)(3)
- 0.3
800
26.
min High (P97 P52 * 4)* 5* 8 VOH VCC - 0.5 VCCB - 0.5 3.5
typ
max V I OH - 200 A
V I OH
- 1mA 5.5V 5.5V
VCC 4.5V VCCB 4.5V 3.0 V I OH - 1mA
VCC 4.5V VCCB 4.5V P97 P52* 4 Low (RESO 123 RESO *1 A/D A/D 2.0V D/A D/A 5.5V AVCC AVref AVSS AVCC AVref AVref AVCC VCC ) *5 VOL 2.0 0.4 1.0 0.4 V I OH V I OL V I OL V I OL - 1mA 1.6mA 10mA 2.6mA
*2 P67 P60 *3 IRQ2 *4 H8S/2148 SCL0 SDA0 ICE=1 ADTRG P52/SCK0/SCL0 P97/SDA0 High P52/SCK0 P97 ICE=0 KBIOE=0 High NMOS Low NMOS
H8S/2148 *5 IICS=0 ICE=0
*6
6
CIN
VCC+0.3V
CIN
VCC+0.3V
AVCC+0.3V
*7
A
CIN
VCCB+0.3V CIN
VCCB+0.3V
AVCC+0.3V
*8 *9 +85
A
VCCB
VCC VCC=4.5V 5.5V Ta=0 +75 Ta 0
801
26.
26.3 DC V CC AVref* 4.0V
1
4 5.5V*5 V CC B AVCC *5
min
4.0V
1
5.5V 0V
AVCC *1 4.0V *5
5.5V
4.0V
V SS AVSS*
Ta -20 +75
RES STBY NMI MD1 7 16 8 9 A*
4
Ta -40 +85
typ I in
max 10.0 1.0 1.0 Vin A Vin Vin 0.5 0.5 0.5 AVCC - 0.5V VCC - 0.5V VCCB - 0.5V A Vin 0.5 VCC - 0.5V
MD0
I TSI B
1.0
13 MOS A*
4
- Ip B 0 1
50 60
300 500
A Vin
0V 5.5V 5.5V
VCC 4.5V VCCB 4.5V
6 P6PUE 6 P6PUE 13 A*
4
15 30
150 200 400 A Vin 0V
B 0 1 4 Cin
40
VCC 4.5V VCCB 4.5V
6 P6PUE 6 P6PUE RES NMI P52 P97 P42 P86 PA7 PA2 (4) *2
10
110 80 50 20 pF Vin f Ta 0V 1MHz 25
15 I CC 70 60 *
3
100 85 5.0 20.0
mA f mA f A Ta 50 mA
16MHz 16MHz 50 Ta
0.01
A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 5.5 5.5
A AVCC=2.0V mA
5.5V
AI ref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
4.0 2.0
RAM *1 A/D A/D 2.0V D/A D/A 5.5V
VRAM
2.0 AVCC AVref AVSS AVCC AVref AVref AVCC
V
VCC
802
26.
*2
VI H min VCC - 0.5V
VCCB - 0.5V MOS
VI L max 0.5V
*3 VRAM VCC 4.0V *4 *5 +85 A VCCB
VI H min VCC 0.9
VCCB 0.9 VCC VCC=4.5V
VI L max 0.3V
5.5V
Ta=0 +75
Ta
0
803
26.
26.3 DC V CC 3.0V
5 5.5V*9 V CC B 5.5V
1
3.0V
5.5V
AVCC *1 3.0V *9
typ max
5.5V
AVref 3.0V
P67 P60 (KWUL 00) * *
7 8 3 2 6
V SS AVSS*1 0V Ta -20 +75
min VT VT VT - VT VCC 0.05 VCCB 0.05 VCC 0.3 VCC 0.7
- T
VCC 0.2 VCCB 0.2 VCC 0.7 VCCB 0.7
V
KIN15 KIN8* * IRQ2 IRQ0* IRQ5 IRQ3
P67 P60 (KWUL
01)
VT V
+ T + T
V
V -V *
6
VCC 0.05 VCC 0.4 VCC 0.8
P67 P60 (KWUL
10)
VT V
+ T + T - T
V -V P67 P60 (KWUL 11) VT V
+ T + T
VCC 0.03 VCC 0.45 VCC 0.9
V -V High RES MD1 STBY MD0 NMI 2 VIH
- T
0.05 VCC 0.9 VCC 0.7 VCC + 0.3 VCC + 0.3 VCCB + 0.3 AVCC + 0.3 VCC + 0.3 VCC 0.1 VCCB 0.2 0.8 V VCCB 4.0V VCCB = 4.0V VCC 4.0V VCC = 4.0V V I OH V I OH - 200 5.5V A 5.5V V
EXTAL PA7 PA0* 7 (1)(2) Low RES STBY MD1 PA7 PA0 MD0 3 VIL
7
VCCB 0.7 VCC 0.7 VCC 0.7 - 0.3 - 0.3
NMI EXTAL (1)(3) High (P97 P52 * 4)* 5* 8 VOH
- 0.3
VCC 0.2 0.8
VCC - 0.5 VCCB - 0.5 VCC - 1.0 VCCB - 1.0
- 1mA
(VCC 4.0V VCCB 4.0V) V I OH 0.4 1.0 V I OL V I OL I OL - 1mA 1.6mA 5mA(VCC 4.0V) 10mA VCC 5.5V)
P97 P52* Low
4 5
1.0 (RESO )* VOL
123
(4.0V RESO 0.4 V I OL
1.6mA
804
26.
*1 A/D A/D 2.0V
D/A D/A 5.5V
AVCC AVref AVSS AVCC AVref AVref AVCC VCC
*2 P67 P60 *3 IRQ2 *4 H8S/2148 SCL0 SDA0 ICE=1 ADTRG P52/SCK0/SCL0 P97/SDA0 High P52/SCK0 P97 ICE=0 KBIOE=0 High NMOS Low NMOS
H8S/2148 *5 IICS=0 ICE=0
*6
6
CIN
VCC+0.3V
CIN
VCC+0.3V
AVCC+0.3V
*7
A
CIN
VCCB+0.3V CIN
VCCB+0.3V
AVCC+0.3V
*8 *9
A
VCCB
VCC VCC=3.0V 3.6V Ta=0 +75
805
26.
26.3 DC V CC 3.0V
6 5.5V*5 V CC B 5.5V 3.0V 5.5V AVCC *1 3.0V *5 5.5V
AVref 3.0V
RES STBY NMI MD1 7 16 8 9 A*
4
V SS AVSS*1 0V Ta -20 +75
min I in typ max 10.0 1.0 1.0 I TSI 1.0
A Vin
0.5
VCC - 0.5V
MD0
Vin A Vin Vin
0.5 0.5 0.5
AVCC - 0.5V VCC - 0.5V VCCB - 0.5V
B
13 MOS A*
4
- Ip B 0 1 4 Cin
10 30
150 250
A Vin
0V 3.6V 3.6V
Vcc 3.0V VccB 3.0V
6 P6PUE 6 P6PUE RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
3
70 80 50 20 pF Vin f Ta 0V 1MHz 25
15 I CC 50 40 *
3
70 60 5.0 20.0
mA f mA f A Ta 50 mA
10MHz 10MHz 50 Ta
0.01
A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 5.5 5.5
A AVCC=2.0V mA
5.5V
AI ref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
3.0 2.0
RAM *1 A/D A/D 2.0V *2 D/A D/A 5.5V VI H min VCC - 0.5V
VRAM
2.0 AVCC AVref AVSS AVCC AVref AVref AVCC
V
VCC
VCCB - 0.5V MOS
VI L max 0.5V
*3 VRAM VCC 3.0V *4 *5 806 A VCCB
VI H min VCC 0.9
VCCB 0.9 VCC
VI L max 0.3V
VCC=3.0V
3.6V
Ta=0 +75
26.
26.4 V CC Ta 4.0V 5.5V V CC B 4.0V 5.5V Ta V SS 0V
-20 +75
-40 +85
min typ max 20 mA
Low 1
SCL1 SDA0 PS2AD
SCL0 PS2AC
SDA1 PS2CC
I OL
PS2CD ) 10 3 2
PA7 PA4( 123 RESO
Low
123
I OL
80 120
mA
High 1 High
- I OH
2
mA
- I OH
40
mA
V CC
3.0V
5.5V
V CC B
3.0V
5.5V
V SS
0V Ta
-20 +75
min typ max 10 mA
Low 1
SCL1 PS2AC PS2AD
SCL0
SDA1
SDA0
I OL
PS2CC PS2CD ) 2 1 1
PA7 PA4( 123 RESO
Low
123
I OL
40 60
mA
High 1 High
- I OH
2
mA
- I OH
30
mA
1. LSI
26.4
2.
LED
26.1
26.2
807
26.
LSI
2k
26.1
LSI
600 13 LED
26.2 LED
808
26.
26.5 V CC 3.0V 5.5V V SS 0V
SCL1 SCL0 SDA1 SDA0
min VT VT VT - VT High VI H VCC 0.05 VCC 0.7 VCC + 0.5 V VCC 0.3 VCC 0.7 typ max V VCC =3.0V 5.5V
VCC =3.0V 5.5V VCC =3.0V VCC =3.0V 5.5V 5.5V
Low
VIL
- 0.5
VCC 0.3
V
VCC =3.0V
5.5V
Low
VOL
0.8 0.5 0.4
V
I OL I OL I OL
16mA 8mA 3mA 0V f 0.5
VCC 4.5V
5.5V
Cin I TSI
20 1.0
pF
Vin
1MHz Ta
25
A Vin
VCC - 0.5V
SCL
SDA
t Of
20 + 0.1Cb
250
ns
VCC =3.0V
5.5V
V CC
3.0V PS2AC PA7
5.5V
V CC B
3.0V
5.5V PS2BD
V SS 0V PS2CC PS2CD
PS2AD
PS2BC
PA4
min typ max 0.8 0.5 0.4 V I OL I OL I OL 16mA 8mA 3mA VCCB 4.5V 5.5V
Low
VOL
809
26.
26.2.3
AC
AC
26.4
1
2 6.6 EXTA L EXTA L 24 EXC L
26.6 A V CC Ta B V CC Ta C V CC Ta 5.0V 10 V CC B 5.0V 10 Ta V CC B 4.0V V SS 0V -40 +85 2MHz 2MHz
-20 +75 4.0V 5.5V
5.5V V SS 0V Ta -40 +85
-20 +75 3.0V 5.5V V CC B 3.0V
5.5V V SS 0V
2MHz
-20 +75
C 10MHz min t cyc t CH t CL t Cr t Cf t OSC1 ( ) t OSC2 t DEXT 20 8 500 100 30 30 20 20 10 8 500 max 500 B 16MHz min 62.5 20 20 10 10 10 8 500 max 500 A 20MHz min 50 17 17 8 8 max 500 ns ns ns ns ns ms ms s 26.6 26.7 26.5 26.5
810
26.
2
26.7 NMI IRQ0 2 6 7 32.768kHz
26.7 A V CC 5.0V 10 V CC B 5.0V 10 V SS 0V
32.768kHz 2MHz Ta B V CC -20 +75 4.0V 5.5V V CC B 4.0V Ta 5.5V -40 +85 V SS 0V
32.768kHz 2MHz Ta C V CC -20 +75 3.0V 5.5V V CC B 3.0V Ta 5.5V -40 +85 V SS 0V
32.768kHz 2MHz Ta -20 +75
C 10MHz min RES RES NMI NMI NMI NMI NMI NMI t RESS t RESW t NMIS t NMIH t NMIW 300 20 250 10 200 max B 16MHz min 200 20 150 10 200 max A 20MHz min 200 20 150 10 200 max ns t cyc ns ns ns 26.9 26.8
IRQ IRQ7 IRQ IRQ7 IRQ IRQ7 IRQ6 IRQ2 IRQ0 IRQ0 IRQ0
t IRQS
250
150
150
ns
t IRQH
10
10
10
ns
t IRQW
200
200
200
ns
811
26.
3
26.8 32.768kHz
26.8 A V CC Ta B V CC Ta C V CC Ta 5.0V 10
1 V CC B 5.0V 10 Ta V CC B 4.0V 5.5V Ta V CC B 3.0V 5.5V V SS 0V -40 +85 V SS 0V -40 +85 V SS 0V 2MHz 2MHz 2MHz
-20 +75 4.0V 5.5V
-20 +75 3.0V 5.5V
-20 +75
C 10MHz min max 40
0.5 0.5 tcyc - 30 tcyc - 20 0.5 0.5 tcyc - 20 tcyc - 15
B 16MHz min max 30
0.5 0.5 tcyc - 15 tcyc - 10
A 20MHz min max 20 ns ns ns 20 30 30 30 15 0 ns ns ns ns ns ns
1.0 1.5 2.0 2.5 3.0 tcyc - 30 tcyc - 25 tcyc - 30 tcyc - 25 tcyc - 30
t AD t AS t AH CS AS RD RD 1 2 IOS t CSD t ASD t RSD1 t RSD2 t RDS t RDH 1 2 3 4 5 WR WR WR WR 1 2 1 2 t ACC1 t ACC2 t ACC3 t ACC4 t ACC5 t WRD1 t WRD2 t WSW1 t WSW2 t WDD t WDS t WDH WAIT WAIT t WTS t WTH 0 20 60 10
1.0 1.5 tcyc - 40 tcyc - 40
26.10
26.14
40 60 60 60 35 0
1.0 1.5 2.0 2.5 3.0 tcyc - 60 tcyc - 50 tcyc - 60 tcyc - 50 tcyc - 60
30 45 45 45 20 0
1.0 1.5 2.0 2.5 3.0 tcyc - 40 tcyc - 35 tcyc - 40 tcyc - 35 tcyc - 40
ns ns ns ns ns ns ns ns ns
60 60
1.0 1.5 tcyc - 30 tcyc - 30
45 45
1.0 1.5 tcyc - 20 tcyc - 20
30 30
60 0 15 45 5
45 0 10 30 5
30
ns ns ns ns ns
812
26.
26.8 A V CC Ta B V CC Ta C V CC Ta 5.0V 10
2 V CC B 5.0V 10 Ta V CC B 4.0V 5.5V Ta V CC B 3.0V 5.5V V SS 0V -40 +85 V SS 0V -40 +85 V SS 0V 2MHz 2MHz 2MHz
-20 +75 4.0V 5.5V
-20 +75 3.0V 5.5V
-20 +75
C 10MHz min max 60
0.5 0.5 tcyc - 50 tcyc - 20 0.5 0.5 tcyc - 35 tcyc - 15
B 16MHz min max 45
0.5 0.5 tcyc - 25 tcyc - 10
A 20MHz min max 30 ns ns ns 30 30 30 30 15 0 ns ns ns ns ns ns
1.0 1.5 2.0 2.5 3.0 tcyc - 40 tcyc - 25 tcyc - 40 tcyc - 25 tcyc - 40
t AD t AS t AH CS AS RD RD 1 2 IOS t CSD t ASD t RSD1 t RSD2 t RDS t RDH 1 2 3 4 5 WR WR WR WR 1 2 1 2 t ACC1 t ACC2 t ACC3 t ACC4 t ACC5 t WRD1 t WRD2 t WSW1 t WSW2 t WDD t WDS t WDH WAIT WAIT t WTS t WTH 0 20 60 10
1.0 1.5 tcyc - 40 tcyc - 40
26.10
26.14
60 60 60 60 35 0
1.0 1.5 2.0 2.5 3.0 tcyc - 80 tcyc - 50 tcyc - 80 tcyc - 50 tcyc - 80
45 45 45 45 20 0
1.0 1.5 2.0 2.5 3.0 tcyc - 55 tcyc - 35 tcyc - 55 tcyc - 35 tcyc - 55
ns ns ns ns ns ns ns ns ns
60 60
1.0 1.5 tcyc - 30 tcyc - 30
45 45
1.0 1.5 tcyc - 20 tcyc - 20
30 30
60 0 15 45 5
45 0 10 30 5
30
ns ns ns ns ns
813
26.
4
2 6.9 2 6.11 32. 768kHz IRQ0 2 6 7 I/O 8 01 NMI
26.9 A V CC Ta B V CC Ta C V CC 5.0V 10
1
V CC B
5.0V
10 Ta
V SS 0V -40 +85 V SS 0V -40 +85 V SS 0V Ta -20 +75
A 20MHz min max 50 30 30 50 30 30 1.5 2.5 50 30 30 1.5 2.5 50 4 6 0.4
32.768kHz* 2MHz -20 +75 4.0V 5.5V
1
V CC B
4.0V
5.5V Ta
32.768kHz* 2MHz -20 +75 3.0V 5.5V
1
V CC B
3.0V
5.5V
32.768kHz* 2MHz
C 10MHz min max 100 50 50 100 50 50 1.5 2.5 100 50 50 1.5 2.5 100 4 6 0.4
I/O
FRT
TMR
PWM PWMX SCI
t PWD t PRS t PRH t FTOD t FTIS t FTCS t FTCWH t FTCWL t TMOD t TMRS t TMCS t TMCWH t TMCWL t PWOD t Scyc t SCKW t SCKr t SCKf t TXD t RXS t RXH
B 16MHz min max 50 30 30 50 30 30 1.5 2.5 50 30 30 1.5 2.5 50 4 6 0.4
ns
26.15
ns
26.16 26.17
t cyc ns 26.18 26.20 26.19
t cyc ns t cyc 26.21 26.22
0.6 1.5 1.5 100
0.6 1.5 1.5 50
0.6 1.5 1.5 50
t Scyc t cyc ns 26.23
100 100 50 200 132
50 50 30 120 132
50 50 30 100 132 ns ns t cyc 26.24 26.25
A/D WDT RESO RESO *1
t TRGS t RESD t RESOW
814
26.
26.9 A V CC Ta B V CC Ta C V CC Ta 5.0V 10 V CC B 5.0V
2 10 Ta V CC B 4.0V 5.5V Ta V CC B 3.0V 5.5V V SS 0V -40 +85 V SS 0V -40 +85 V SS 0V 2MHz 2MHz 2MHz
-20 +75 4.0V 5.5V
-20 +75 3.0V 5.5V
-20 +75
C 10MHz min max B 16MHz min 10 10 120 200 0 40 200 10 10 100 50 85 t HWD t HGA 25 180 10 10 60 30 55 15 90 0 100 25 120 10 10 60 30 45 15 90 0 max A 20MHz min 10 10 120 100 25 120 max ns ns ns ns ns ns ns ns ns ns ns ns ns 26.26
HIF
CS/HA0 CS/HA0 IOR HDB HDB HIRQ
t HAR t HRA t HRPW t HRD t HRF t HIRQ t HAW t HWA t HWPW
GATE A20 GATE A20
10 10 220
HIF
CS/HA0 CS/HA0 IOW HDB
t HDW
HDB GA20
815
26.
26.10 V CC Ta 3.0V 5.5V V CC B 3.0V 5.5V V SS 0V 2MHz
-20 +75
min KCLK KCLK KD KD t KBF t KBIH 20 + 0.1Cb 150
typ
max 250 ns ns 26.27
KCLK
KD
t KBIS
150
ns
KCLK KCLK
KD KD
t KBOD Cb
450 400
ns pF
26.11 I 2 C V CC 3.0V 5.5V V SS 0V
min SCL SCL SCL SCL High Low SDA t SCL t SCLH t SCLL t Sr 12 3 5 7.5*
1
5MHz
typ
max t cyc t cyc t cyc t cyc 26.28
SCL
SDA
t Sf
300
ns
SCL
SDA
t SP
1
t cyc
SDA
t BUF t STAH t STAS
5 3 3
t cyc t cyc t cyc
t STOS
3
t cyc
t SDAS t SDAH SCL SDA * 1 I 2C 16.4 Cb
0.5 0 400
t cyc ns pF
17. 5tc yc
816
26.
26.2.4
A/D
A/D 26.12 26.13
26.12 A/D A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C V CC -20 +75 3.0V 2MHz 10
AN7 AN0 AVCC 5.0V
134 10
266 AVref 4.5V AVCC V SS AVSS 0V
Ta AVCC 4.0V 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
5.5V
Ta AVCC 3.0V Ta
C 10MHz
-40 +85 AVref 3.0V AVCC V SS AVSS 0V
5.5V
5.5V
-20 +75
B 16MHz A 20MHz max min typ 10 8.4 20 6.7 20
3
min
typ 10
max
min
typ 10
max
*5
13.4 20 10*
1
s pF
3
10*
10* 5* 4
k
5* 2 7.0 7.5 7.5 0.5 8.0 *1 4.0V *2 3.0V *3 *4 *5 AVCC 5.5V AVCC 4.0V 11.17 11.17 s s CKS=1 CKS=1 12MHz 12MHz
5* 4 3.0 3.5 3.5 0.5 4.0
3.0 3.5 3.5 0.5 4.0
LSB LSB LSB LSB LSB
CKS=0
817
26.
26.13 A/D A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C V CC -20 +75 3.0V 2MHz 10
CIN15 CIN0 AVCC 5.0V 10
134
266 AVCC V SS AVSS 0V
AVref 4.5V
Ta AVCC 4.0V 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
5.5V
Ta AVCC 3.0V Ta
C 10MHz
-40 +85 AVref 3.0V AVCC V SS AVSS 0V
5.5V
5.5V
-20 +75
B 16MHz A 20MHz max min typ 10 8.4 20 10* 3 5* 4 5.0 5.5 5.5 0.5 6.0 6.7 20 10* 3 5* 4 5.0 5.5 5.5 0.5 6.0 LSB LSB LSB LSB LSB s pF k max
min
typ 10
max
min
typ 10
*
5
13.4 20 10* 1 5* 2 11.0 11.5 11.5 0.5 12.0
*1 4.0V *2 3.0V *3 *4 *5
AVCC 5.5V AVCC 4.0V 11.17 11.17 s s CKS=1 CKS=1 12MHz 12MHz CKS=0
818
26.
26.2.5
D/A
D/A 26.14
26.14 D/A A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C V CC -20 +75 3.0V 2MHz
C 10MHz min typ 8 20pF 2M 4M 2.0 10 3.0 2.0 1.0 max min
10
AVCC
5.0V
10
AVref 4.5V
AVCC
V SS AVSS 0V
Ta AVCC 4.0V 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
5.5V
Ta AVCC 3.0V Ta 5.5V
-40 +85 AVref 3.0V AVCC V SS AVSS 0V
5.5V
-20 +75
B 16MHz typ 8 10 1.5 1.0 1.0 max min A 20MHz typ 8 10 1.5 1.0 s LSB max
819
26.
26.2.6
26.15 26.15 5V V CC Ta 0 3V V CC 5.0V +75 3.0V 3.6V 10 V SS 0V Ta 0 V SS 0V Ta 0
min. * 1* 2* 4 tP typ. 10
+85 +75
max. 200 ms/ 32
* 1* 3* 6
tE NWEC
100
1200 ms/ 100
SWE-bit PSU-bit P-bit P-bit PSU-bit PV-bit
*
1
x y z
10 50 150 10 200
s s s s s s s s 1000 z s s 10 ms s s s s s 120 z 10ms 200 s
*1 * 1* 4 *1 * *1 *1
1
10 4 2 4 N
PV-bit *** SWE-bit ESU-bit E-bit E-bit ESU-bit EV-bit
1 4 5
*1
*1 *1 * 1* 6 *
1
x y z
10 200 5 10
*1 *1 *1
10 20 2 5 N
EV-bit * 1* 6* 7 *1 *2 32
*
1
FLMCR1
P-bit
*3 1
FLMCR1
E-bit
*4 tP 820 max P-bit
tP
max z N
26.
*5 P-bit
z tP
200 max
s
z
*6 tE max E-bit
tE
max z z 10ms N z
*7 E-bit tE max
821
26.
26.2.7
1 F -ZTAT R OM ROM
F-ZTAT ROM
ROM
2 H8S/2148 F-ZTAT F -ZTAT VCC2 VCL R OM
26.3
ROM
VCL
822
26.
26.3 H8S/2148 F-ZTAT (A H8S/2147 F-ZTAT (A H8S/2148 ROM H8S/2147 ROM
26.3.1
26.16
) )
26.16
*1
VCC VCCB A 3V VCL *1 *
2
- 0.3 - 0.3
+7.0 +7.0
V V
VCC VCL Vin Vin
- 0.3 - 0.3 - 0.3 - 0.3
+4.3 +4.3 VCC + 0.3 VCC + 0.3
V V V V
67A
6
CIN Vin - 0.3 VCCB + 0.3 V
A
CIN Vin 6 CIN Vin A CIN 7 Vin AVref AVCC 3V AVCC VAN Topr - 0.3 - 0.3 - 0.3 - 0.3 - 0.3 AVCC + 0.3 AVCC + 0.3 +7.0 +4.3 AVCC + 0.3 -20 +75 -40 +85 Topr -20 +75 -40 +85 Tstg - 55 +125 V V V V V - 0.3 VCCB + 0.3 AVCC + 0.3 V - 0.3 VCC + 0.3 AVCC + 0.3 V
FLASH
1. 2. 5V 4V
LSI
LSI 7. 0V 3V 4.3V
A
823
26.
*1 VCC1 3V VCL *2 5V 4V VCL VCL GND VC C1 VC L VC C
824
26.
26.3.2
DC
DC 26.19 26.17 26.18
26.17 DC V CC 5.0V
1 10 V CC B 5.0V 10 AVCC *1 5.0V 10 AVref*1 4.5V AVCC
V SS AVSS*1 0V Ta -20 +75
P67 P60 (KWUL 00) * *
7 8 3 2 6
Ta -40 +85
min 1 VT VT VT - VT 0.4 1.0 VCC 0.7 VCCB 0.7 typ max V
KIN15 KIN8* * IRQ2 IRQ0* IRQ5 IRQ3
P67 P60 (KWUL
01)
VT V
+ T + T - T
VCC 0.3 VCC 0.7 VCC 0.05 VCC 0.4 VCC 0.8
- T
V
V -V *
6
P67 P60 (KWUL
10)
VT V
+ T + T
V -V P67 P60 (KWUL 11) VT V
+ T + T
VCC 0.03 VCC 0.45 VCC 0.9
V -V High RES MD1 STBY MD0 NMI 2 VIH
- T
0.05 VCC - 0.7 VCC 0.7 VCC + 0.3 VCC + 0.3 VCCB + 0.3 AVCC + 0.3 VCC + 0.3 0.5 1.0 0.8 V V
EXTAL PA7 PA0* 7 (1)(2) Low RES STBY MD1 PA7 PA0 NMI EXTAL (1)(3) High (P97 P52 * )* *
4 5 4 5 8 7
VCCB 0.7 2.0 2.0 MD0 3 VIL - 0.3 - 0.3 - 0.3
VOH
VCC - 0.5 VCCB - 0.5 3.5
V I OH V I OH V I OH 0.4 1.0 0.4 V I OL V I OL V I OL
- 200
A
- 1mA - 200 1.6mA 10mA 2.6mA A
P97 P52* Low RESO
2.0 (RESO )* VOL
123
825
26.
*1 A/D A/D 2.0V
D/A D/A 5.5V
AVCC AVref AVSS AVCC AVref AVref AVCC VCC
*2 P67 P60 *3 IRQ2 *4 H8S/2148 SCL0 SDA0 ICE=1 ADTRG P52/SCK0/SCL0 P97/SDA0 High P52/SCK0 P97 ICE=0 KBIOE=0 High NMOS Low NMOS
H8S/2148 *5 IICS=0 ICE=0
*6
6
CIN
VCC+0.3V
CIN
VCC+0.3V
AVCC+0.3V
*7
A
CIN
VCCB+0.3V CIN
VCCB+0.3V
AVCC+0.3V
*8
A
VCCB
VCC
826
26.
26.17 DC V CC 5.0V
2 10 V CC B 5.0V 10 AVCC *1 5.0V 10 AVref*1 4.5V AVCC
V SS AVSS*1 0V Ta -20 +75
RES STBY NMI MD1 7 16 8 9 A* 13 MOS A*
4 4
Ta -40 +85
min I in typ max 10.0 1.0 1.0 I TSI 1.0 Vin A Vin Vin - Ip 30 60 300 600 200 80 50 20 15 I CC 55 36 1.0 AI CC AIref 1.2 0.01 0.5 2.0 0.01 AVCC VRAM 4.5 2.0 2.0 AVCC AVref AVSS AVCC AVref AVref AVCC VCC 70 55 5.0 20.0 2.0 5.0 1.0 5.0 5.0 5.5 5.5 V A AVref=2.0V V AVCC mA f mA f A Ta 50 mA A AVCC=2.0V mA 5.5V 20MHz 20MHz 50 Ta pF Vin f Ta 0V 1MHz 25 A Vin 0.5 0.5 0.5 0V AVCC - 0.5V VCC - 0.5V VCCB - 0.5V A Vin 0.5 VCC - 0.5V
MD0
B
B 0 1 4 Cin
6 P6PUE 6 P6PUE RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
15
* A/D D/A A/D D/A A/D A/D D/A A/D D/A * RAM *1 A/D A/D 2.0V *2 D/A D/A 5.5V
1
3
VI H min VCC - 0.2V
VCCB - 0.2V MOS
VI L max 0.2V
*3 VRAM VCC 4.5V *4 A VCCB
VI H min VCC - 0.2V
VCCB - 0.2V VCC
VI L max 0.2V
827
26.
26.17 DC V CC 4.0V
3 5.5V V CC B 4.0V 5.5V AVCC *1 4.0V 5.5V AVref*1 4.0V AVCC
V SS AVSS*1 0V Ta -20 +75 Ta -40 +85
min P67 P60 (KWUL 00) * *
2 6
typ
max V VCC 4.5V VCC 0.7 VCCB 0.7 VCCB 4.5V 5.5V 5.5V
1
VT VT
1.0
KIN15 KIN8* 7* 8 IRQ2 IRQ0* 3 IRQ5 IRQ3 VT - VT VT VT 0.4 0.8
V VCC 4.0V VCC 0.7 VCCB 0.7 VCCB 4.0V
4.5V 4.5V
VT - VT P67 P60 (KWUL 01) VT VT+ VT+ - VT- *
6
0.3 VCC 0.3 VCC 0.7 VCC 0.05 VCC 0.4 VCC 0.8 VCC 0.03 VCC 0.45 VCC 0.9 0.05 VCC - 0.7 VCC + 0.3 V V VCC 4.0V 5.5V
P67 P60 (KWUL
10)
VT VT+ VT+ - VT-
P67 P60 (KWUL
11)
VT V
+ T
VT+ - VT- High RES MD1 STBY MD0 NMI 2 VIH
EXTAL PA7 PA0* 7 7 (1)(2) Low RES STBY MD1 PA7 PA0 MD0 3 VIL
VCC 0.7 VCCB 0.7 2.0 2.0 - 0.3 - 0.3 - 0.3
VCC + 0.3 VCCB + 0.3 AVCC + 0.3 VCC + 0.3 0.5 1.0 0.8 0.8 V VCCB 4.5V VCCB 4.0V 5.5V 4.5V
NMI EXTAL (1)(3)
- 0.3
828
26.
min High (P97 P52 * 4)* 5* 8 VOH VCC - 0.5 VCCB - 0.5 3.5
typ
max V I OH - 200 A
V I OH
- 1mA 5.5V 5.5V
VCC 4.5V VCCB 4.5V 3.0 V I OH - 1mA
VCC 4.0V VCCB 4.0V P97 P52* 4 Low (RESO 123 RESO *1 A/D A/D 2.0V D/A D/A 5.5V AVCC AVref AVSS AVCC AVref AVref AVCC VCC ) *5 VOL 1.5 0.4 1.0 0.4 V I OH V I OL V I OL V I OL - 200 1.6mA 10mA 2.6mA
4.5V 4.5V A
*2 P67 P60 *3 IRQ2 *4 H8S/2148 SCL0 SDA0 ICE=1 ADTRG P52/SCK0/SCL0 P97/SDA0 High P52/SCK0 P97 ICE=0 KBIOE=0 High NMOS Low NMOS
H8S/2148 *5 IICS=0 ICE=0
*6
6
CIN
VCC+0.3V
CIN
VCC+0.3V
AVCC+0.3V
*7
A
CIN
VCCB+0.3V CIN
VCCB+0.3V
AVCC+0.3V
*8
A
VCCB
VCC
829
26.
26.17 DC V CC 4.0V
4 5.5V V CC B 4.0V 5.5V AVCC *1 4.0V 5.5V
AVref*1 4.0V Ta -20 +75
RES STBY NMI MD1 7 16 8 9 A*
4
AVCC
V SS AVSS*1 0V Ta -40 +85
min I in typ max 10.0 1.0 1.0 I TSI 1.0 Vin A Vin Vin 0.5 0.5 0.5 AVCC - 0.5V VCC - 0.5V VCCB - 0.5V A Vin 0.5 VCC - 0.5V
MD0
B
13 MOS A*
4
- Ip B 0 1
30 60
300 600
A Vin
0V 5.5V 5.5V
VCC 4.5V VCCB 4.5V
6 P6PUE 6 P6PUE 13 A* 4 B
15 20 40
200 200 500 A Vin 0V 4.5V 4.5V
VCC 4.0V VCCB 4.0V
6 P6PUE 6 P6PUE RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
0 1 4 Cin 10 150 80 50 20
pF Vin f Ta
0V 1MHz 25
15 I CC 45 30 *
3
58 46 5.0 20.0
mA f mA f A Ta 50 mA
16MHz 16MHz 50 Ta
1.0
A/D D/A A/D D/A A/D A/D D/A A/D D/A *1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 5.5 5.5
A AVCC=2.0V mA
5.5V
AI ref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
4.0 2.0
RAM
VRAM
2.0
V
830
26.
*1 A/D A/D 2.0V *2
D/A D/A 5.5V VI H min VCC - 0.2V
AVCC AVref AVSS AVCC AVref AVref VCCB - 0.2V MOS VI L max 0.2V AVCC VCC
*3 VRAM VCC 4.0V *4 A VCCB
VI H min VCC - 0.2V
VCCB - 0.2V VCC
VI L max 0.2V
831
26.
26.17 DC V CC 2.7V
5 3.6V *9 V CC B 3.6V 2.7V 5.5V AVCC *1 2.7V 3.6V
AVref*1 2.7V
P67 P60 (KWUL 00) * *
7 8 2 6
V SS AVSS*1 0V Ta -20 +75
min typ max V VT VCC 0.2 VCCB 0.2 VT VCC 0.7 VCCB 0.7 VT - VT VCC 0.05 VCCB 0.05
1
KIN15 KIN8* * IRQ2 IRQ0* IRQ5 IRQ3
3
P67 P60 (KWUL
01)
VT V
+ T + T - T
VCC 0.3 VCC 0.7 VCC 0.05 VCC 0.4 VCC 0.8
- T
V
V -V *6 P67 P60 (KWUL 10) VT V
+ T + T
V -V P67 P60 (KWUL 11) VT VT+ V -V High RES MD1 STBY MD0 NMI 2 VIH
+ T
VCC 0.03 VCC 0.45 VCC 0.9
- T
0.05 VCC 0.9 VCC + 0.3 V
EXTAL PA7 PA0* 7 7 (1)(2) Low RES STBY MD1 PA7 PA0 MD0 3 VIL
VCC 0.7 VCCB 0.7 VCC 0.7 VCC 0.7 - 0.3 - 0.3
VCC + 0.3 VCCB + 0.3 AVCC + 0.3 VCC + 0.3 VCC 0.1 VCCB 0.2 0.8 V VCCB = 2.7V VCCB = 4.0V VCC = 2.7V 4.0V 5.5V 3.6V
NMI EXTAL (1)(3) High (P97 P52 * )* *
4 5 8
- 0.3
VCC 0.2
VOH
VCC - 0.5 VCCB - 0.5 VCC - 1.0 VCCB - 1.0
V I OH
- 200
A
V I OH
- 1mA 3.6V 4.0V) A
(VCC = 2.7V VCCB =2.7V
P97 P52* Low
4 5
0.5 (RESO )* VOL 0.4 1.0 0.4
V I OH V I OL V I OL V I OL
- 200 1.6mA 5mA 1.6mA
123 RESO
832
26.
*1 A/D A/D 2.0V
D/A D/A 3.6V
AVCC AVref AVSS AVCC AVref AVref AVCC VCC
*2 P67 P60 *3 IRQ2 *4 H8S/2148 SCL0 SDA0 ICE=1 ADTRG P52/SCK0/SCL0 P97/SDA0 High P52/SCK0 P97 ICE=0 KBIOE=0 High NMOS Low NMOS
H8S/2148 *5 IICS=0 ICE=0
*6
6
CIN
VCC+0.3V
CIN
VCC+0.3V
AVCC+0.3V
*7
A
CIN
VCCB+0.3V CIN
VCCB+0.3V
AVCC+0.3V
*8 *9
A
VCCB
VCC VCC=3.0V 3.6V
833
26.
26.17 DC V CC 2.7V
6 3.6V*5 V CC B 3.6V 2.7V 5.5V AVCC *1 2.7V 3.6V
AVref*1 2.7V
RES STBY NMI MD1 7 16 8 9 A*
4
V SS AVSS*1 0V Ta -20 +75
min I in typ max 10.0 1.0 1.0 I TSI 1.0 Vin A Vin Vin 0.5 0.5 0.5 AVCC - 0.5V VCC - 0.5V VCCB - 0.5V A Vin 0.5 VCC - 0.5V
MD0
B
13 MOS A*
4
- Ip B 0 1 4 Cin
5 30
150 300
A Vin
0V 3.6V 3.6V
Vcc 2.7V VccB 2.7V
6 P6PUE 6 P6PUE RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
3
100 80 50 20 pF Vin f Ta 0V 1MHz 25
15 I CC 30 20 *
3
40 32 5.0 20.0
mA f mA f A Ta 50 mA
10MHz 10MHz 50 Ta
1.0
A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 3.6 3.6
A AVCC=2.0V mA
3.6V
AI ref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
2.7 2.0
RAM *1 A/D A/D 2.0V *2 D/A D/A 3.6V VI H min VCC - 0.2V
VRAM
2.0 AVCC AVref AVSS AVCC AVref AVref AVCC
V
VCC
VCCB - 0.2V MOS
VI L max 0.2V
*3 VRAM VCC 2.7V *4 *5 834 A VCCB
VI H min VCC - 0.2V
VCCB - 0.2V VCC
VI L max 0.2V
VCC=3.0V
3.6V
26.
26.18 V CC Ta 4.0V 5.5V V CC B 4.0V 5.5V Ta V SS 0V
-20 +75
-40 +85
min typ max 20 mA
Low 1
SCL1 SDA0 PS2AD
SCL0 PS2AC
SDA1 PS2CC
I OL
PS2CD ) 10 3 2
PA7 PA4( 123 RESO
Low
123
I OL
80 120
mA
High 1 High
- I OH
2
mA
- I OH
40
mA
V CC
2.7V
3.6V
V CC B
2.7V
5.5V
V SS
0V Ta
-20 +75
min typ max 10 mA
Low 1
SCL1 PS2AC PS2AD
SCL0
SDA1
SDA0
I OL
PS2CC PS2CD ) 2 1 1
PA7 PA4( 123 RESO
Low
123
I OL
40 60
mA
High 1 High
- I OH
2
mA
- I OH
30
mA
1. LSI
26. 18
2.
LED
26. 1
26. 2
835
26.
26.19 V CC 4.0V 5.5V V CC 2.7V 3.6V 3V V SS 0V
SCL1 SCL0 SDA1 SDA0
min VT VT VT - VT High VI H VCC 0.05 VCC 0.7 VCC + 0.5 V VCC 0.3 VCC 0.7 typ max V
Low
VIL
- 0.5
VCC 0.3
V
Low
VOL
0.8 0.5 0.4
V
I OL I OL I OL
16mA 8mA 3mA 0V f 0.5
VCC 4.5V
5.5V
Cin I TSI
20 1.0
pF
Vin
1MHz Ta
25
A Vin
VCC - 0.5V
SCL
SDA
t Of
20 + 0.1Cb
250
ns
V CC
4.0V PS2AC PA7
5.5V
V CC
2.7V PS2BC
3.6V
3V
V CC B
2.7V
5.5V
V SS 0V
PS2AD
PS2BD
PS2CC PS2CD
PA4
min typ max 0.8 0.5 0.4 V I OL I OL I OL 16mA 8mA 3mA VCCB 4.5V 5.5V
Low
VOL
836
26.
26.3.3
AC
AC
26.4
1
26.20 EXTA L EXTA L 24 EXC L
26.20 A V CC Ta B V CC Ta C V CC 5.0V 10 V CC B 5.0V 10 Ta V CC B 4.0V 5.5V Ta V CC B 2.7V Ta 5.5V V SS 0V -40 +85 V SS 0V -40 +85 V SS 0V 2MHz 2MHz
-20 +75 4.0V 5.5V
-20 +75 2.7V 2MHz 3.6V
-20 +75
C 10MHz B 16MHz min 62.5 20 20 20 20 10 10 10 8 500 10 8 500 max 500 A 20MHz min 50 17 17 8 8 max 500 ns ns ns ns ns ms ms s 26.6 26.7 26.5 26.5
min t cyc t CH t CL t Cr t Cf t OSC1 ( ) t OSC2 t DEXT 20 8 500 100 30 30
max 500
837
26.
2
2 6.21 NMI IRQ0 2 6 7 32. 768kHz
26.21 A V CC 5.0V 10 V CC B 5.0V 10 V SS 0V
32.768kHz 2MHz Ta B V CC -20 +75 4.0V 5.5V V CC B 4.0V Ta 5.5V -40 +85 V SS 0V
32.768kHz 2MHz Ta C V CC -20 +75 2.7V 3.6V V CC B 2.7V Ta 5.5V -40 +85 V SS 0V Ta
C 10MHz min RES RES NMI NMI NMI NMI NMI NMI t RESS t RESW t NMIS t NMIH t NMIW 300 20 250 10 200 max B 16MHz min 200 20 150 10 200 max
32.768kHz 2MHz
-20 +75
A 20MHz min 200 20 150 10 200 max ns t cyc ns ns ns 26.9 26.8
IRQ IRQ7 IRQ IRQ7 IRQ IRQ7 IRQ6 IRQ2 IRQ0 IRQ0 IRQ0
t IRQS
250
150
150
ns
t IRQH
10
10
10
ns
t IRQW
200
200
200
ns
838
26.
3
2 6.22 32. 768kHz
26.22 A V CC Ta B V CC Ta C V CC 5.0V 10
1 V CC B 5.0V 10 Ta V CC B 4.0V 5.5V Ta V CC B 2.7V Ta
C 10MHz min t AD t AS t AH
0.5 0.5 tcyc - 30 tcyc - 20
V SS 0V -40 +85 V SS 0V -40 +85 V SS 0V
2MHz
-20 +75 4.0V 5.5V
2MHz
-20 +75 2.7V 2MHz 3.6V
5.5V
-20 +75
B A 20MHz min max 20
0.5 0.5 tcyc - 15 tcyc - 10
16MHz min Max 30
0.5 0.5 tcyc - 20 tcyc - 15
max 40
ns ns ns
26.10
26.14
CS AS RD RD 1 2
IOS
t CSD t ASD t RSD1 t RSD2 t RDS t RDH 1 2 3 4 5 t ACC1 t ACC2 t ACC3 t ACC4 t ACC5 t WRD1 t WRD2 t WSW1 t WSW2 t WDD t WDS t WDH 0 20 60 10
1.0 1.5 tcyc - 40 tcyc - 40
40 60 60 60 35 0
1.0 1.5 2.0 2.5 3.0 tcyc - 60 tcyc - 50 tcyc - 60 tcyc - 50 tcyc - 60
30 45 45 45 20 0
1.0 1.5 2.0 2.5 3.0 tcyc - 40 tcyc - 35 tcyc - 40 tcyc - 35 tcyc - 40
20 30 30 30 15 0
1.0 1.5 2.0 2.5 3.0 tcyc - 30 tcyc - 25 tcyc - 30 tcyc - 25 tcyc - 30
ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns
WR WR WR WR
1 2 1 2
60 60
1.0 1.5 tcyc - 30 tcyc - 30
45 45
1.0 1.5 tcyc - 20 tcyc - 20
30 30
60 0 15 45 5
45 0 10 30 5
30
ns ns ns ns ns 839
WAIT WAIT
t WTS t WTH
26.
26.22 A V CC Ta B V CC Ta C V CC 5.0V 10
2 V CC B 5.0V 10 Ta V CC B 4.0V 5.5V Ta V CC B 2.7V Ta
C 10MHz min t AD t AS t AH
0.5 0.5 tcyc - 50 tcyc - 20
V SS 0V -40 +85 V SS 0V -40 +85 V SS 0V
2MHz
-20 +75 4.0V 5.5V
2MHz
-20 +75 2.7V 2MHz 3.6V
5.5V
-20 +75
B A 20MHz min max 30
0.5 0.5 tcyc - 25 tcyc - 10
16MHz min max 45
0.5 0.5 tcyc - 35 tcyc - 15
max 60
ns ns ns
26.10
26.14
CS AS RD RD 1 2
IOS
t CSD t ASD t RSD1 t RSD2 t RDS t RDH 1 2 3 4 5 t ACC1 t ACC2 t ACC3 t ACC4 t ACC5 t WRD1 t WRD2 t WSW1 t WSW2 t WDD t WDS t WDH 0 20 60 10
1.0 1.5 tcyc - 40 tcyc - 40
60 60 60 60 35 0
1.0 1.5 2.0 2.5 3.0 tcyc - 80 tcyc - 50 tcyc - 80 tcyc - 50 tcyc - 80
45 45 45 45 20 0
1.0 1.5 2.0 2.5 3.0 tcyc - 55 tcyc - 35 tcyc - 55 tcyc - 35 tcyc - 55
30 30 30 30 15 0
1.0 1.5 2.0 2.5 3.0 tcyc - 40 tcyc - 25 tcyc - 40 tcyc - 25 tcyc - 40
ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns
WR WR WR WR
1 2 1 2
60 60
1.0 1.5 tcyc - 30 tcyc - 30
45 45
1.0 1.5 tcyc - 20 tcyc - 20
30 30
60 0 15 45 5
45 0 10 30 5
30
ns ns ns ns ns
WAIT WAIT
t WTS t WTH
840
26.
4
2 6.23 2 6.25 32. 768kHz IRQ0 2 6 7 I/O 8 01 NMI
26.23 A V CC Ta V CC
B
Ta C V CC
5.0V 10 V CC B 5.0V 10 1 32.768kHz* 2MHz -20 +75 Ta 4.0V 5.5V V CC B 4.0V 5.5V 32.768kHz*1 2MHz -20 +75 Ta 2.7V 3.6V V CC B 2.7V 5.5V 32.768kHz*1 2MHz
C 10MHz min max 100 50 50 100 50 50 1.5 2.5 100 50 50 1.5 2.5 100 4 6 0.4
V SS 0V -40 +85 V SS 0V -40 +85 V SS 0V Ta -20 +75
A 20MHz min max 50 30 30 50 30 30 1.5 2.5 50 30 30 1.5 2.5 50 4 6 0.4
I/O
FRT
TMR
PWM PWMX SCI
t PWD t PRS t PRH t FTOD t FTIS t FTCS t FTCWH t FTCWL t TMOD t TMRS t TMCS t TMCWH t TMCWL t PWOD t Scyc t SCKW t SCKr t SCKf t TXD t RXS t RXH
B 16MHz min max 50 30 30 50 30 30 1.5 2.5 50 30 30 1.5 2.5 50 4 6 0.4
ns
26.15
ns
26.16 26.17
t cyc ns 26.18 26.20 26.19
t cyc ns t cyc 26.21 26.22
0.6 1.5 1.5 100
0.6 1.5 1.5 50
0.6 1.5 1.5 50
t Scyc t cyc ns 26.23
100 100 50 200 132
50 50 30 120 132
50 50 30 100 132 ns ns t cyc 26.24 26.25
A/D WDT RESO RESO *1
t TRGS t RESD t RESOW
841
26.
26.23 A V CC Ta B V CC Ta C V CC 5.0V 10 V CC B 5.0V
2 10 Ta V CC B 4.0V 5.5V Ta V CC B 2.7V Ta
C 10MHz min max
V SS 0V -40 +85 V SS 0V -40 +85 V SS 0V
2MHz
-20 +75 4.0V 5.5V
2MHz
-20 +75 2.7V 2MHz 3.6V
5.5V
-20 +75
B 16MHz min 10 10 120 max A 20MHz min 10 10 120 100 0 25 120 10 10 60 30 55 15 10 10 60 30 45 15 90 90 0 100 25 120 max ns ns ns ns ns ns ns ns ns ns ns ns ns 26.26
HIF
CS/HA0 CS/HA0 IOR HDB HDB HIRQ
t HAR t HRA t HRPW t HRD t HRF t HIRQ t HAW t HWA t HWPW
GATE A20 GATE A20
10 10 220 200 0 40 200 10 10 100 50 85
HIF
CS/HA0 CS/HA0 IOW HDB
t HDW
HDB GA20
t HWD t HGA
25 180
842
26.
26.24 V CC 4.0V 2MHz 5.5V V CC 2.7V 3.6V Ta 3V V CC B 2.7V 5.5V V SS 0V
-20 +75
min KCLK KCLK KD KD t KBF t KBIH 20 + 0.1Cb 150
typ
max 250 ns ns 26.27
KCLK
KD
t KBIS
150
ns
KCLK KCLK
KD KD
t KBOD Cb
450 400
ns pF
843
26.
26.25 I 2 C V CC 4.0V 5MHz 5.5V V CC 2.7V 3.6V 3V V SS 0V
min SCL SCL SCL SCL High Low SDA t SCL t SCLH t SCLL t Sr 12 3 5
typ
max t cyc t cyc t cyc 7.5* 1 t cyc 26.28
SCL
SDA
t Sf
300
ns
SCL
SDA
t of
20 + 0.1Cb
250
ns
SCL
SDA
t SP
1
t cyc
SDA
t BUF t STAH t STAS
5 3 3
t cyc t cyc t cyc
t STOS
3
t cyc
t SDAS t SDAH SCL SDA *1 I C 16.4
2
0.5 0 400
t cyc ns pF
Cb
17. 5tc yc
844
26.
26.3.4
A/D
A/D 26.26 26.27
26.26 A/D A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C V CC -20 +75 2.7V 2MHz 10
AN7 AN0 AVCC 5.0V
134 10
266 AVref 4.5V AVCC V SS AVSS 0V
Ta AVCC 4.0V 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
5.5V
Ta 2.7V Ta
C 10MHz
-40 +85 AVCC V SS AVSS 0V
3.6V AVCC
3.6V AVref 2.7V -20 +75
B 16MHz
A 20MHz max min typ 10 8.4 20 10*
1
min
typ 10
max
min
typ 10
max
*3
13.4 20 5
6.7 20 10* 5* 2 3.0 3.5 3.5 0.5 4.0 CKS=0
1
s pF k
5* 2 7.0 7.5 7.5 0.5 8.0 *1 *2 *3 11.17 11.17 s s CKS=1 CKS=1 12MHz 12MHz 3.0 3.5 3.5 0.5 4.0
LSB LSB LSB LSB LSB
845
26.
26.27 A/D A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C -20 +75 10
CIN15 CIN0 AVCC 5.0V 10
134
266 AVCC V SS AVSS 0V
AVref 4.5V
Ta AVCC 4.0V 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
5.5V
Ta
4
-40 +85 A V CC*4
V CC 3 .0V V CCB 3 .0V
3 .6V *
A V CC 3 .0V
3 .6V *4 A V ref 3 .0V
5 .5V *4 V SS A V SS 0 V Ta
C 10MHz
2MHz
-20 +75
B 16MHz A 20MHz max min typ 10 8.4 20 10*
1
min
typ 10
max
min
typ 10
max
*3
13.4 20 5
6.7 20 10* 5* 2 5.0 5.5 5.5 0.5 6.0 CKS=0
1
s pF k
5* 2 11.0 11.5 11.5 0.5 12.0 *1 *2 *3 *4 CIN VCCB 3.0V 5.5V VCC 3.0V 3.6V AVCC 3.0V 3.6V 11.17 11.17 s s CKS=1 CKS=1 12MHz 12MHz 5.0 5.5 5.5 0.5 6.0
LSB LSB LSB LSB LSB
AVref
3.0V
3.6V
846
26.
26.3.5
D/A
D/A 26.28
26.28 D/A A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C V CC -20 +75 2.7V 2MHz
C 10MHz min typ 8 20pF 2M 4M 2.0 10 3.0 2.0 1.0 max min
10
AVCC
5.0V
10
AVref 4.5V
AVCC
V SS AVSS 0V
Ta AVCC 4.0V 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
5.5V
Ta 2.7V Ta
-40 +85 AVCC V SS AVSS 0V
3.6V AVCC
3.6V AVref 2.7V -20 +75
B 16MHz typ 8 10 1.5 1.0 max
A 20MHz min typ 8 10 1.0 1.5 1.0 s LSB max
847
26.
26.3.6
26.29
26.29 5V V CC 4.0V 5.5V V SS 0V Ta -40 +85 V SS 0V Ta -20 +75
min. ***
1 2 4
Ta -20 +75 3V V CC 3.0V 3.6V
typ. 10
max. 200 ms/ 128
tP
***
1
3
6
tE NWEC
100
1200 ms/ 100
SWE-bit PSU-bit P-bit **
1
* *
4
1
x y z1 z2 z3
1 50 28 198 8 5 30 200 10 32 202 12
s s s s s s s s s s s 1000 1n6 7 n 1000
1
P-bit PSU-bit PV-bit
*
1 1
* *1 *
1 1
5 4 2 2
PV-bit SWE-bit * 1* 4* 5 SWE-bit ESU-bit E-bit E-bit ESU-bit EV-bit H'FF EV-bit SWE-bit *** *1 *2 128
1 6 7
*
*
1
100 N
* * ** *1 * *
1 1 6
1
x y z
1 100 10 10 100
s s ms s s s s s s 120
1
1
10 20 *
1
2 4
*1 *
1
100 N
FLMCR1
P-bit
848
26.
*3 1
FLMCR1
E-bit
*4 tP max P-bit + P-bit *5 N
tP
max z1 z2 z1 z2 z3 P-bit z1 z2 z3 + z3 6 N -6 tP max
n n 1n6 7 n 1000 *6 tE *7 max E-bit N z tE z1 z2 30 200 s s z3 10 s
max z N tE(max)
849
26.
26.3.7
1 F -ZTAT R OM R OM
F-ZTAT ROM
ROM
2 H8S/2148 H8S/2147 H8S/2144A HD64F 2144A HD6432148S HD6432143S H8S /2148 HD6432148S W F-ZTAT A H8S /2147 H8S /2144 HD64F2148A HD64F2147A H8/2143 R OM HD6432144S
HD6432147S
HD6443147S W
VCL F 1 2
VSS
0.47
26.3 VCC VCC 3. 6V 5V 4V VCC1 VCC VC L
VCC
3.6V
3V
VCL
VCC1
F -ZTAT ZTAT VC C 2 A VC L
R OM
F-
850
26.
26.4 H8S/2147N F-ZTAT
26.4.1
26.30
26.30
*1
VCC VCCB A 67A 6 CIN A CIN 6 CIN A CIN 7 Vin AVref AVCC VAN Topr Topr Vin Vin Vin Vin Vin
- 0.3 - 0.3
+7.0 +7.0
V V
- 0.3 - 0.3
VCC + 0.3 VCC + 0.3
V V
- 0.3
VCCB + 0.3
V
- 0.3
VCC + 0.3
AVCC + 0.3
V
- 0.3
VCCB + 0.3
AVCC + 0.3
V
- 0.3 - 0.3 - 0.3 - 0.3
AVCC + 0.3 AVCC + 0.3 +7.0 AVCC + 0.3
V V V V
-20 +75 0 +75
FLASH Tstg - 55 +125
LSI *1 VCC1 VCC2
LSI
851
26.
26.4.2
DC
DC 26.33 26.31 26.32
26.31 DC V CC 5.0V
1 10 V CC B 5.0V 10 *9
min typ max V VCC 0.7 VCCB 0.7 VT - VT 0.4
AVCC *1 5.0V
10
AVref*1 4.5V
AVCC
V SS AVSS*1 0V Ta -20 +75
P67 P60 (KWUL 00) * *
7 8 2 6
1
VT VT
1.0
KIN15 KIN8* * IRQ2 IRQ0* 3 IRQ5 IRQ3
P67 P60 (KWUL
01)
VT V
+ T + T - T
VCC 0.3 VCC 0.7 VCC 0.05 VCC 0.4 VCC 0.8
- T
V
V -V * 6 P67 P60 (KWUL 10) VT V
+ T + T
V -V P67 P60 (KWUL 11) VT VT+ V -V High RES MD1 STBY MD0 NMI 2 VIH
+ T
VCC 0.03 VCC 0.45 VCC 0.9
- T
0.05 VCC - 0.7 VCC + 0.3 V
EXTAL PA7 PA0* 7 7 (1)(2) Low RES STBY MD1 PA7 PA0 NMI EXTAL (1)(3) High (P97 P52 * )* *
4 5 8
VCC 0.7 VCCB 0.7 2.0 2.0 MD0 3 VIL - 0.3 - 0.3 - 0.3
VCC + 0.3 VCCB + 0.3 AVCC + 0.3 VCC + 0.3 0.5 1.0 0.8 V
VOH
VCC - 0.5 VCCB - 0.5 3.5
V I OH
- 200
A
V I OH V I OH 0.4 1.0 0.4 V I OL V I OL V I OL
- 1mA - 1mA 1.6mA 10mA 2.6mA
P97 P52* 4 Low (RESO 123 RESO 852 )*
5
2.5 VOL
26.
*1 A/D A/ D 2.0V
D/A D/ A 5.5V
AVCC AVref AVSS AVC C AVref AVref AVCC VC C
*2 P67 P60 *3 IRQ2 *4 H8S/2147N SCL0 ADTRG P52/SCK0/SCL0 P97/SDA0 High High NMOS Low NMOS
SDA0 ICE=1
H8S/2147N *5 IICS=0 ICE=0
P52/SCK0 P97 ICE=0 KBIOE=0
*6
6
CI N
VC C+0. 3V CI N
VC C+0. 3V
AVC C+0. 3V
*7
A
CIN
VCCB+0.3V CIN
VCCB+0.3V
AVCC+0.3V
*8 *9
A
VCCB Ta 0 +75
VCC
853
26.
26.31 DC V CC 5.0V
2 10 V CC B 5.0V 10 *5
min typ max 10.0 1.0 1.0 I TSI
4
AVCC *1 5.0V
10
AVref*1 4.5V
AVCC
V SS AVSS*1 0V Ta -20 +75
RES STBY NMI MD1 7 16 8 9 A* B MD0 I in
A Vin
0.5
VCC - 0.5V
Vin A Vin Vin
0.5 0.5 0.5
AVCC - 0.5V VCC - 0.5V VCCB - 0.5V
1.0
13 MOS A*
4
- Ip B 0 1 4 Cin
50 60
300 500
A Vin
0V
6 P6PUE 6 P6PUE RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
15
150 80 50 20 pF Vin f Ta 0V 1MHz 25
15 I CC 75 60 *
3
100 85 5.0 20.0
mA f mA f A Ta 50 mA
20MHz 20MHz 50 Ta
0.01
A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 5.5 5.5
A AVCC=2.0V mA
5.5V
AIref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
4.5 2.0
RAM *1 A/D A/ D 2.0V *2 D/A D/ A 5.5V
VRAM
2.0 AVCC AVref AVSS AVC C AVref AVref AVCC
V
VC C
VI H min VC C - 0. 5V VC CB - 0. 5V VI L max MOS
0. 5V
*3 VRAM VCC 4.5V *4 *5 854 A VCCB
VI H min VCC 0.9
VCCB 0.9 VCC Ta
VI L max 0.3V
0 +75
26.
26.31 DC V CC 3.0V
3 5.5V*9 V CC B 5.5V
1
3.0V
5.5V
AVCC *1 3.0V *9
typ max
5.5V
AVref 3.0V
P67 P60 (KWUL 00) * *
7 8 3 2 6
V SS AVSS*1 0V Ta -20 +75
min VT VT VT - VT VCC 0.05 VCCB 0.05 VCC 0.3 VCC 0.7
- T
VCC 0.2 VCCB 0.2 VCC 0.7 VCCB 0.7
V
KIN15 KIN8* * IRQ2 IRQ0* IRQ5 IRQ3
P67 P60 (KWUL
01)
VT V
+ T + T
V
V -V *
6
VCC 0.05 VCC 0.4 VCC 0.8
P67 P60 (KWUL
10)
VT V
+ T + T - T
V -V P67 P60 (KWUL 11) VT V
+ T + T
VCC 0.03 VCC 0.45 VCC 0.9
V -V High RES MD1 STBY MD0 NMI 2 VIH
- T
0.05 VCC 0.9 VCC 0.7 VCC + 0.3 VCC + 0.3 VCCB + 0.3 AVCC + 0.3 VCC + 0.3 VCC 0.1 VCCB 0.2 0.8 V VCCB = 3.0V VCCB = 4.0V VCC = 3.0V VCC = 4.0V V I OH V I OH - 200 4.0V 5.5V 4.0V 5.5V A V
EXTAL PA7 PA0* 7 (1)(2) Low RES STBY MD1 PA7 PA0 MD0 3 VIL
7
VCCB 0.7 VCC 0.7 VCC 0.7 - 0.3 - 0.3
NMI EXTAL (1)(3) High (P97 P52 * 4)* 5* 8 VOH
- 0.3
VCC 0.2 0.8
VCC - 0.5 VCCB - 0.5 VCC -1.0 VCCB - 1.0
- 1mA 4.0V 4.0V)
(VCC = 3.0V VCCB = 3.0V V I OH 0.4 1.0 V I OL V I OL I OL - 1mA 1.6mA
P97 P52* Low
4 5
1.0 (RESO )* VOL
123
5mA(VCC 4.0V) 10mA VCC 5.5V)
(4.0V RESO 0.4 V I OL
1.6mA
855
26.
*1 A/D A/ D 2.0V
D/A D/ A 5.5V
AVCC AVref AVSS AVC C AVref AVref AVCC VC C
*2 P67 P60 *3 IRQ2 *4 H8S/2147N SCL0 ADTRG P52/SCK0/SCL0 P97/SDA0 High High NMOS Low NMOS
SDA0 ICE=1
H8S/2147N *5 IICS=0 ICE=0
P52/SCK0 P97 ICE=0 KBIOE=0
*6
6
CI N
VC C+0. 3V CI N
VC C+0. 3V
AVC C+0. 3V
*7
A
CIN
VCCB+0.3V CIN
VCCB+0.3V
AVCC+0.3V
*8 *9
A
VCCB
VCC VCC=3.0V 3.6V Ta=0 +75
856
26.
26.31 DC V CC 3.0V
4 5.5V*5 V CC B 5.5V 3.0V 5.5V AVCC *1 3.0V *5 5.5V
AVref 3.0V
RES STBY NMI MD1 7 16 8 9 A*
4
V SS AVSS*1 0V Ta -20 +75
min I in typ max 10.0 1.0 1.0 I TSI 1.0
A Vin
0.5
VCC - 0.5V
MD0
Vin A Vin Vin
0.5 0.5 0.5
AVCC - 0.5V VCC - 0.5V VCCB - 0.5V
B
13 MOS A*
4
- Ip B 0 1 4 Cin
10 30
150 250
A Vin
0V 3.6V 3.6V
Vcc 3.0V VccB 3.0V
6 P6PUE 6 P6PUE RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
3
70 80 50 20 pF Vin f Ta 0V 1MHz 25
15 I CC 45 35 *
3
60 50 5.0 20.0
mA f mA f A Ta 50 mA
10MHz 10MHz 50 Ta
0.01
A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 5.5 5.5
A AVCC=2.0V mA
5.5V
AI ref
0.5 2.0 0.01
A AVref=2.0V
AVCC
AVCC
3.0 2.0
RAM *1 A/D A/ D 2.0V *2 D/A D/ A 5.5V
VRAM
2.0 AVCC AVref AVSS AVC C AVref AVref AVCC
V
VC C
VI H min VC C - 0. 5V VC CB - 0. 5V VI L max MOS
0. 5V
*3 VRAM VCC 3.0V *4 *5 A VCCB
VI H min VCC 0.9
VCCB 0.9 VCC
VI L max 0.3V
VCC=3.0V
3.6V
Ta=0 +75 857
26.
26.32 V CC 4.5V 5.5V V CC B 4.5V 5.5V V SS 0V Ta -20
min Low 1 SCL1 SDA0 PS2AD SCL0 PS2AC PS2CD ) 10 3 2 Low 123 I OL 80 120 High 1 High - I OH 40 mA - I OH 2 mA mA SDA1 PS2CC I OL
+75
typ max 20 mA
PA7 PA4( 123 RESO
V CC
3.0V
5.5V
V CC B
3.0V
5.5V
V SS
0V Ta
-20 +75
min typ max 10 mA
Low 1
SCL1 PS2AC PS2AD
SCL0
SDA1
SDA0
I OL
PS2CC PS2CD ) 2 1 1
PA7 PA4( 123 RESO
Low
123
I OL
40 60
mA
High 1 High
- I OH
2
mA
- I OH
30
mA
1. LSI
26. 32
2.
LED
26. 1
26. 2
858
26.
26.33 V CC 3.0V 5.5V V SS 0V
SCL1 SCL0 SDA1 SDA0
min VT VT VT - VT High VI H VCC 0.05 VCC 0.7 VCC + 0.5 V VCC 0.3 VCC 0.7 typ max V VCC =3.0V VCC =3.0V VCC =3.0V VCC =3.0V 5.5V 5.5V 5.5V 5.5V
Low
VIL
- 0.5
VCC 0.3
V
VCC =3.0V
5.5V
Low
VOL
0.8 0.5 0.4
V
I OL I OL I OL
16mA 8mA 3mA 0V f 0.5
VCC 4.5V
5.5V
Cin I TSI
20 1.0
pF
Vin
1MHz Ta
25
A Vin
VCC - 0.5V
SCL
SDA
t Of
20 + 0.1Cb
250
ns
VCC =3.0V
5.5V
V CC
3.0V PS2AC PA7
5.5V
V CC B
3.0V
5.5V PS2BD
V SS 0V PS2CC PS2CD
PS2AD
PS2BC
PA4
min typ max 0.8 0.5 0.4 V I OL I OL I OL 16mA 8mA 3mA VCCB 4.5V 5.5V
Low
VOL
859
26.
26.4.3
AC
AC
26.4
1
26.34 EXTA L EXTA L 24 EXC L
26.34 A V CC Ta B V CC Ta 5.0V 10 V CC B 5.0V 10 V SS 0V 2MHz
-20 +75 3.0V 5.5V V CC B 3.0V 5.5V V SS 0V 2MHz
-20 +75
B 10MHz min t cyc t CH t CL t Cr t Cf t OSC1 ( ) t OSC2 t DEXT 20 8 500 100 30 30 20 20 10 8 500 max 500 A 20MHz min 50 17 17 8 8 max 500 ns ns ns ns ns ms ms s 26.6 26.7 26.5 26.5
860
26.
2
2 6.35 NMI IRQ0 2 6 7 32. 768kHz
26.35 A V CC 5.0V 10 V CC B 5.0V 10 V SS 0V Ta 3.0V 5.5V -20 +75
32.768kHz 2MHz B V CC 3.0V 5.5V V CC B
V SS 0V Ta -20 +75
A 20MHz
32.768kHz 2MHz
B 10MHz min RES RES NMI NMI NMI NMI NMI NMI t RESS t RESW t NMIS t NMIH t NMIW 300 20 250 10 200 max
min 200 20 150 10 200
max ns t cyc ns ns ns 26.9 26.8
IRQ IRQ7 IRQ IRQ7 IRQ IRQ7 IRQ6 IRQ2 IRQ0 IRQ0 IRQ0
t IRQS
250
150
ns
t IRQH
10
10
ns
t IRQW
200
200
ns
861
26.
3
2 6.36 32. 768kHz
26.36 A V CC Ta B V CC Ta 5.0V 10
1 V CC B 5.0V 10 V SS 0V 2MHz
-20 +75 3.0V 5.5V V CC B 3.0V 5.5V V SS 0V 2MHz
-20 +75
B 10MHz min max 40
0.5 0.5 tcyc - 30 tcyc - 20 0.5 0.5 tcyc - 15 tcyc - 10
A 20MHz min max 20 ns ns ns 20 30 30 30 15 0 ns ns ns ns ns ns
1.0 1.5 2.0 2.5 3.0 tcyc - 30 tcyc - 25 tcyc - 30 tcyc - 25 tcyc - 30
t AD t AS t AH CS AS RD RD 1 2 IOS t CSD t ASD t RSD1 t RSD2 t RDS t RDH 1 2 3 4 5 WR WR WR WR 1 2 1 2 t ACC1 t ACC2 t ACC3 t ACC4 t ACC5 t WRD1 t WRD2 t WSW1 t WSW2 t WDD t WDS t WDH WAIT WAIT t WTS t WTH 0 20 60 10
1.0 1.5 tcyc - 40 tcyc - 40
26.10
26.14
40 60 60 60 35 0
1.0 1.5 2.0 2.5 3.0 tcyc - 60 tcyc - 50 tcyc - 60 tcyc - 50 tcyc - 60
ns ns ns ns ns ns ns ns ns
60 60
1.0 1.5 tcyc - 20 tcyc - 20
30 30
60 0 10 30 5
30
ns ns ns ns ns
862
26.
26.36 A V CC Ta B V CC Ta 5.0V 10
2 V CC B 5.0V 10 V SS 0V 2MHz
-20 +75 3.0V 5.5V V CC B 3.0V 5.5V V SS 0V 2MHz
-20 +75
B 10MHz min max 60
0.5 0.5 tcyc - 50 tcyc - 20 0.5 0.5 tcyc - 25 tcyc - 10
A 20MHz min max 30 ns ns ns 30 30 30 30 15 0 ns ns ns ns ns ns
1.0 1.5 2.0 2.5 3.0 tcyc - 40 tcyc - 25 tcyc - 40 tcyc - 25 tcyc - 40
t AD t AS t AH CS AS RD RD 1 2 IOS t CSD t ASD t RSD1 t RSD2 t RDS t RDH 1 2 3 4 5 WR WR WR WR 1 2 1 2 t ACC1 t ACC2 t ACC3 t ACC4 t ACC5 t WRD1 t WRD2 t WSW1 t WSW2 t WDD t WDS t WDH WAIT WAIT t WTS t WTH 0 20 60 10
1.0 1.5 tcyc - 40 tcyc - 40
26.10
26.14
60 60 60 60 35 0
1.0 1.5 2.0 2.5 3.0 tcyc - 80 tcyc - 50 tcyc - 80 tcyc - 50 tcyc - 80
ns ns ns ns ns ns ns ns ns
60 60
1.0 1.5 tcyc - 20 tcyc - 20
30 30
60 0 10 30 5
30
ns ns ns ns ns
863
26.
4
2 6.37 2 6.39 32. 768kHz IRQ0 2 6 7 I/O 8 01 NMI
26.37 A V CC 5.0V 10 V CC B 5.0V 10 V SS 0V Ta 3.0V 5.5V V SS 0V Ta
B 10MHz min I/O t PWD t PRS t PRH FRT t FTOD t FTIS t FTCS t FTCWH t FTCWL TMR t TMOD t TMRS t TMCS t TMCWH t TMCWL PWM PWMX SCI t Scyc t SCKW t SCKr t SCKf t TXD t RXS t RXH A/D WDT RESO RESO *1 864 t TRGS t RESD t RESOW 132 100 100 50 200 132 4 6 0.4 0.6 1.5 1.5 100 50 50 30 100 ns ns t cyc 26.24 26.25 4 6 0.4 0.6 1.5 1.5 50 ns 26.23 t Scyc t cyc t cyc 26.22 t PWOD 50 50 1.5 2.5 100 50 50 1.5 2.5 100 30 30 1.5 2.5 50 ns 26.21 t cyc 50 50 100 30 30 1.5 2.5 50 ns 26.18 26.20 26.19 t cyc 26.17 max 100 30 30 50 ns 26.16 min
32.768kHz*1 2MHz B V CC 3.0V 5.5V V CC B
-20 +75
32.768kHz*1 2MHz
-20 +75
A 20MHz max 50 ns 26.15
26.
26.37 A V CC Ta B V CC Ta 5.0V 10 V CC B 5.0V
2 10 V SS 0V 2MHz
-20 +75 3.0V 5.5V V CC B 3.0V 5.5V V SS 0V 2MHz
-20 +75
B 10MHz min max min 10 10 120 200 0 40 200 10 10 100 50 85 t HWD t HGA 25 180 10 10 60 30 45 15 90 0 100 25 120 A 20MHz max ns ns ns ns ns ns ns ns ns ns ns ns ns 26.26
HIF
CS/HA0 CS/HA0 IOR HDB HDB HIRQ
t HAR t HRA t HRPW t HRD t HRF t HIRQ t HAW t HWA t HWPW
GATE A20 GATE A20
10 10 220
HIF
CS/HA0 CS/HA0 IOW HDB
t HDW
HDB GA20
865
26.
26.38 V CC Ta 3.0V 5.5V V CC B 3.0V 5.5V V SS 0V 2MHz
-20 +75
min KCLK KCLK KD KD t KBF t KBIH 20 + 0.1Cb 150
typ
max 250 ns ns 26.27
KCLK
KD
t KBIS
150
ns
KCLK KCLK
KD KD
t KBOD Cb
450 400
ns pF
866
26.
26.39 I 2 C V CC 3.0V 5.5V V SS 0V 5MHz
min SCL SCL SCL SCL High Low SDA t SCL t SCLH t SCLL t Sr 12 3 5
typ
max t cyc t cyc t cyc 7.5* 1 t cyc 26.28
SCL
SDA
t Sf
300
ns
SCL
SDA
t SP
1
t cyc
SDA
t BUF t STAH t STAS
5 3 3
t cyc t cyc t cyc
t STOS
3
t cyc
t SDAS t SDAH SCL SDA * 1 I 2C 16.4 Cb
0.5 0 400
t cyc ns pF
17. 5tc yc
867
26.
26.4.4
A/D
A/D 26.40 26.41
26.40 A/D A V CC 5.0V 2MHz B V CC 3.0V 2MHz 10
AN7 AN0 AVCC 5.0V Ta 5.5V AVCC 3.0V Ta
B 10MHz min typ 10
134 10
266 AVref 4.5V AVCC V SS AVSS 0V
-20 +75 5.5V AVref 3.0V AVCC V SS AVSS 0V
-20 +75
A 20MHz max min typ 10 13.4 20 10* 5* 2 7.0 7.5 7.5 0.5 8.0
1
max
*5
6.7 20 10* 5* 4 3.0 3.5 3.5 0.5 4.0
3
s pF k
LSB LSB LSB LSB LSB
*1 4.0V *2 3.0V *3 *4 *5
AVCC 5.5V AVCC 4.0V 11.17 11.17 s s CKS=1 CKS=1 12MHz 12MHz CKS=0
868
26.
26.41 A/D A V CC 5.0V 2MHz B V CC 3.0V 2MHz 10
CIN15 CIN0 AVCC 5.0V Ta 5.5V AVCC 3.0V Ta
B 10MHz min typ 10 max
134 10
266 AVCC V SS AVSS 0V
AVref 4.5V
-20 +75 5.5V AVref 3.0V AVCC V SS AVSS 0V
-20 +75
A 20MHz min typ 10 13.4 20 10* 1 5* 2 11.0 11.5 11.5 0.5 12.0 6.7 20 10* 3 5* 4 5.0 5.5 5.5 0.5 6.0 LSB LSB LSB LSB LSB s pF k max
*
5
*1 4.0V *2 3.0V *3 *4 *5
AVCC 5.5V AVCC 4.0V 11.17 11.17 s s CKS=1 CKS=1 12MHz 12MHz CKS=0
869
26.
26.4.5
D/A
D/A 26.42
26.42 D/A A V CC 5.0V 2MHz B V CC 3.0V 2MHz 5.5V AVCC 10 AVCC 5.0V Ta 3.0V Ta
B 10MHz min typ 8 20pF 2M 4M 2.0 10 3.0 2.0 1.0 max min
10
AVref 4.5V
AVCC
V SS AVSS 0V
-20 +75 5.5V AVref 3.0V AVCC V SS AVSS 0V
-20 +75
A 20MHz typ 8 10 1.5 1.0 s LSB max
870
26.
26.4.6
26.43
26.43 5V 3V V CC V CC 5.0V 3.0V 10 3.6V V SS 0V Ta 0 V SS 0V Ta 0
min. * 1* 2* 4 tP typ. 10
+75 +75
max. 200 ms/ 32
* 1* 3* 6
tE NWEC
100
1200 ms/ 100
SWE-bit PSU-bit P-bit P-bit PSU-bit PV-bit
*
1
x y z
10 50 150 10 200
s s s s s s s s 1000 z s s 10 ms s s s s s 120 z 10ms 200 s
*1 * 1* 4 *1 * *1 *1
1
10 4 2 4 N
PV-bit *** SWE-bit ESU-bit E-bit E-bit ESU-bit EV-bit
1 4 5
*1
*1 *1 * 1* 6 *
1
x y z
10 200 5 10
*1 *1 *1
10 20 2 5 N
EV-bit * 1* 6* 7 *1 *2 32 P-bit
*
1
FLMCR1
*3 1
FLMCR1
E-bit
871
26.
*4 tP max P-bit
tP
max z z 200 s tP max N
* 5 P-bit z
*6 tE max E-bit
tE
max z z 10ms tE max N z
*7 E-bit
872
26.
26.4.7
1 F -ZTAT R OM ROM
F-ZTAT ROM
ROM
2 H8S/2147N F-ZTAT F -ZTAT VCC2 VCL R OM 26.3
873
26.
26.5
26.5.1
H8S/2144 F-ZTAT H8S/2142 F-ZTAT H8S/2142 ROM
26.44
26.44
*1 67A 6A CIN 6A CIN 7
VCC Vin Vin
- 0.3 - 0.3 - 0.3
+7.0 VCC + 0.3 VCC + 0.3
V V V
Vin
- 0.3
VCC + 0.3
AVCC + 0.3
V
Vin AVref AVCC VAN Topr
- 0.3 - 0.3 - 0.3 - 0.3
AVCC + 0.3 AVCC + 0.3 +7.0 AVCC + 0.3 -20 +75 -40 +85
V V V V
Topr FLASH Tstg - 55 +125
0 +75 0 +85
LSI *1 VCC1 VCC2
LSI
874
26.
26.5.2
DC
DC 26.47 26.45 26.46
26.45 DC V CC 5.0V
1 10 *6 AVCC *1 5.0V 10 AVref*1 4.5V *6
max V VCC 0.7 0.4
AVCC V SS AVSS*1 0V
Ta -20 +75
Ta -40 +85
min typ
P67 P60* 2* 5 KIN15 KIN8* 5 IRQ2 IRQ0* 3 IRQ5 IRQ3 High RES MD1 STBY MD0 PA7 PA0* 5 7 (1)(2) Low RES STBY MD1 PA7 PA0 NMI EXTAL (1)(3) High *4 MD0 NMI
1
VT VT VT - VT
1.0
2
VIH
VCC - 0.7
VCC + 0.3
V
EXTAL
VCC 0.7 2.0 2.0 3 VIL - 0.3 - 0.3 - 0.3
VCC + 0.3 AVCC + 0.3 VCC + 0.3 0.5 1.0 0.8 V
VOH
VCC - 0.5 3.5
V I OH V I OH 0.4 1.0 0.4 V I OL V I OL V I OL
- 200 - 1mA 1.6mA 10mA 2.6mA
A
Low
(RESO 123 RESO
) *4
VOL
*1 A/D A/ D 2.0V
D/A D/ A 5.5V
AVCC AVref AVSS AVC C AVref AVref AVCC VC C
*2 P67 P60 *3 IRQ2 *4 IICS=0 *5 6A CIN ADTRG Low VCC+0.3V CIN VCC+0.3V AVCC+0.3V
*6
Ta
0 +75
Ta
0 +85
875
26.
26.45 DC V CC 5.0V
2 10 AVCC *1 5.0V *4 10 AVref*1 4.5V *4
max 10.0 1.0 1.0 I TSI 1.0 Vin A Vin 0.5 0.5 AVCC - 0.5V VCC - 0.5V A Vin 0.5 VCC - 0.5V
AVCC
V SS AVSS*1 0V Ta -20 +75
RES STBY NMI MD1 7 16 89AB MD0
Ta -40 +85
min I in typ
13 MOS RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
- Ip
50 60
300 500 80 50 20
A Vin
0V
6AB 4 Cin
pF Vin f Ta
0V 1MHz 25
15 I CC 75 60 *3 0.01 100 85 5.0 20.0 mA f mA f A Ta 50 mA A AVCC=2.0V mA 5.5V 20MHz 20MHz 50 Ta
A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 5.5 5.5
AIref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
4.5 2.0
RAM *1 A/D A/ D 2.0V *2 D/A D/ A 5.5V
VRAM
2.0 AVCC AVref AVSS AVC C AVref AVref AVCC
V
VC C
VI H min VC C - 0. 5V VI L max MOS
0. 5V
*3 VRAM VCC 4.5V *4
VI H min VCC 0.9
VI L max 0.3V Ta 0 +75 Ta 0 +85
876
26.
26.45 DC V CC 4.0V
3 5.5V*6 AVCC *1 4.0V *6
1 VT VT VT - VT VT VT VT - VT 0.3 VCC - 0.7 VCC 0.7 2.0 2.0 MD0 3 VIL - 0.3 - 0.3 - 0.3 VCC + 0.3 VCC + 0.3 AVCC + 0.3 VCC + 0.3 0.5 1.0 0.8 0.8 V VCC 4.5V VCC 4.0V 5.5V 4.5V V 0.4 0.8 VCC 0.7 V VCC 4.0V 4.5V
5.5V
AVref*1 4.0V *6
max
AVCC
V SS AVSS*1 0V Ta -20 +75
P67 P60 * *
2 5 5
Ta -40 +85
min 1.0 typ
V VCC 4.5V VCC 0.7
5.5V
KIN15 KIN8* IRQ2 IRQ0* IRQ5 IRQ3
3
High
RES MD1
STBY MD0
NMI
2
VIH
EXTAL 7
PA7 PA0* 5
(1)(2) Low RES STBY MD1 PA7 PA0
NMI EXTAL (1)(3) High *4 VOH
- 0.3
VCC - 0.5 3.5
V I OH V I OH V I OH 0.4 1.0 0.4 V I OL V I OL V I OL
- 200 - 1mA
A
VCC 4.5V 3.0
4
5.5V
- 1mA 4.5V
VCC 4.0V Low (RESO 123 RESO *1 A/D A/ D 2.0V D/A D/ A 5.5V AVCC AVref AVSS AVC C AVref AVref AVCC VC C )* VOL 1.6mA 10mA 2.6mA
*2 P67 P60 *3 IRQ2 *4 IICS=0 *5 6A CIN ADTRG Low VCC+0.3V CIN VCC+0.3V AVCC+0.3V
*6 +85
VC C=4. 5V 5. 5V Ta=0 +75
Ta
0
877
26.
26.45 DC V CC 4.0V
4 5.5V*4 AVCC *1 4.0V *4
min I in
5.5V
AVref*1 4.0V *4
AVCC
V SS AVSS*1 0V Ta -20 +75
RES STBY NMI MD1 7 16 89AB I TSI MD0
Ta -40 +85
typ
max 10.0 1.0 1.0 1.0 Vin A Vin 0.5 0.5 AVCC - 0.5V VCC - 0.5V A Vin 0.5 VCC - 0.5V
13 MOS 6AB 13 6AB RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
- Ip
50 60 30 40
300 500 200 400 80 50 20
A Vin
0V 5.5V
VCC 4.5V A Vin 0V
VCC 4.0V pF Vin f Ta 0V 1MHz 25
4.5V
4
Cin
15 I CC
3
65 50
85 70 5.0 20.0
mA f mA f A Ta 50 mA
16MHz 16MHz 50 Ta
*
0.01
A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 5.5 5.5
A AVCC=2.0V mA
5.5V
AI ref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
4.0 2.0
RAM *1 A/D A/ D 2.0V *2 D/A D/ A 5.5V
VRAM
2.0 AVCC AVref AVSS AVC C AVref AVref AVCC
V
VC C
VI H min VC C - 0. 5V VI L max MOS
0. 5V
*3 VRAM VCC 4.0V *4 +85 878
VI H min VCC 0.9
VI L max 0.3V VC C=4. 5V 5. 5V Ta=0 +75 Ta 0
26.
26.45 DC ROM
5 V CC 2.7V 5.5V AVCC *1 2.7V 5.5V AVref*1 2.7V 5.5V
V SS AVSS*1 0V Ta -20 +75 F-ZTAT V CC 3.0V 5.5V*6 AVCC *1 3.0V 5.5V 5.5V *6 V SS AVSS*1 0V Ta -20 +75
min P67 P60* 2* 5 KIN15 KIN8* IRQ2 IRQ0* 3 IRQ5 IRQ3 High RES MD1 STBY MD0 PA7 PA0* 5 7 (1)(2) Low RES STBY MD1 PA7 PA0 MD0 3 VIL VCC 0.7 VCC 0.7 VCC 0.7 - 0.3 - 0.3 VCC + 0.3 AVCC + 0.3 VCC + 0.3 VCC 0.1 VCC 0.2 0.8 NMI EXTAL (1)(3) High *
4 5
AVref 3.0V
typ
max V VCC 0.7
1
VT VT VT - VT
VCC 0.2
VCC 0.05
NMI
2
VIH
VCC 0.9
VCC + 0.3
V
EXTAL
V VCC 4.0V VCC= 4.0V VCC 4.0V VCC = 4.0V V I OH V I OH - 200 - 1mA 5.5V A 5.5V
- 0.3
VCC 0.2 0.8
VOH
VCC - 0.5 VCC -1.0
(VCC 4.0V) Low (RESO 123 )*
4
VOL
0.4 1.0
V I OL V I OL I OL
1.6mA 5mA(VCC 4.0V) 10mA VCC 5.5V)
(4.0V RESO *1 A/D A/ D 2.0V D/A D/ A 5.5V 0.4 AVCC AVref AVSS AVC C AVref AVref AVCC VC C V I OL
1.6mA
*2 P67 P60 *3 IRQ2 *4 IICS=0 *5 6A CIN ADTRG Low VCC+0.3V CIN VCC+0.3V AVCC+0.3V
*6
VCC=3.0V
3.6V
Ta=0 +75 879
26.
26.45 DC ROM
6 V CC 2.7V 5.5V AVCC *1 2.7V 5.5V AVref*1 2.7V 5.5V
V SS AVSS*1 0V Ta -20 +75 F-ZTAT V CC 3.0V 5.5V*4 AVCC *1 3.0V *4
max 10.0 1.0 1.0 I TSI 1.0 Vin A Vin 0.5 0.5 AVCC - 0.5V VCC - 0.5V A Vin 0.5 VCC - 0.5V min RES STBY NMI MD1 7 16 89AB 13 MOS RES NMI P52 P97 P42 P86 PA7 PA2 (4) *2 * A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1 3
5.5V
AVref 3.0V
5.5V
V SS AVSS*1 0V Ta -20 +75
typ I in MD0
- Ip 4 Cin
10 30
150 250 80 50 20 15
A Vin pF Vin f Ta
0V 3.6V 0V 1MHz 25
6AB
Vcc 2.7V* 4
I CC
45 35 0.01
60 50 5.0 20.0 2.0 5.0 1.0 5.0 5.0 5.5 5.5 5.5
mA f mA f A Ta 50 mA
10MHz 10MHz 50 Ta 5.5V
AI CC AI ref
1.2 0.01 0.5 2.0 0.01
A AVCC=2.0V mA A AVref=2.0V V ) (
AVCC ROM )
AVCC
2.7 3.0 2.0
(F-ZTAT V
RAM *1 A/D A/ D 2.0V *2 D/A D/ A 5.5V
VRAM
2.0 AVCC AVref AVSS AVC C AVref AVref AVCC
VC C
VI H min VC C - 0. 5V VI L max MOS
0. 5V
* 3 VR AM VC C 2. 7V VI L max 0.3V *4 F-ZTAT 880
ROM
VR AM VC C 3. 0V F-Z TAT
VI H min VC C 0. 9
VC C=3. 0V VCC=3.0V
3. 6V Ta=0 +75
26.
26.46 V CC Ta
Low 1 RESO
4.0V
5.5V
V SS
0V Ta -40 +85
min typ max 20 10 3 2 mA ) I OL
-20 +75
PA7 PA4( 123
Low
123
I OL
80 120
mA
High 1 High
- I OH - I OH
2
mA
40
mA
V CC V SS
Low 1
2.7V
5.5V -20 +75
ROM
V CC
3.0V
5.5V
F-ZTAT
0V Ta
min PA7 PA4( 123 RESO ) I OL
typ
max 10 2 1 1 mA
Low
123
I OL
40 60
mA
High 1 High
- I OH - I OH
2
mA
30
mA
1. LSI
26. 46
2.
LED
26. 1
26. 2
26.47 2.7V 5.5V PA4
min Low VOL typ max 0.8 0.5 0.4 V I OL I OL I OL 16mA 8mA 3mA 881 VCC 4.5V 5.5V
ROM
V CC
3.0V
5.5V
F-ZTAT
V SS 0V
PA7
26.
26.5.3
AC
AC
26.4
1
26.48 EXTA L EXTA L 24 EXC L
26.48 A V CC Ta B V CC Ta C V CC 5.0V 10 V SS 0V 2MHz Ta V SS 0V -40 +85
-20 +75 4.0V 5.5V
2MHz Ta -40 +85 V CC Ta
C 10MHz min max 500
-20 +75 2.7V 5.5V 2MHz ROM
3.0V
5.5V
F-ZTAT
V SS 0V
-20 +75
B 16MHz A 20MHz min 50 17 17 10 10 10 8 10 8 500 8 8 max 500 ns ns ns ns ns ms ms s 26.6 26.7 26.5 26.5
min 62.5 20 20
max 500
t cyc t CH t CL t Cr t Cf t OSC1 ( ) t OSC2 t DEXT
100 30 30
20 20 20 8 500
500
882
26.
2
2 6.49 NMI IRQ0 2 6 7 32. 768kHz
26.49 A V CC Ta B V CC Ta C V CC 5.0V 10 V SS 0V 32.768kHz 2MHz Ta V SS 0V -40 +85
-20 +75 4.0V 5.5V
32.768kHz 2MHz Ta -40 +85 V CC 3.0V 5.5V Ta
B 16MHz min 200 20 150 10 200 max A 20MHz min 200 20 150 10 200 max ns t cyc ns ns ns 26.9 26.8
-20 +75 2.7V 5.5V ROM
F-ZTAT -20 +75
V SS 0V
32.768kHz 2MHz
C 10MHz min max
RES RES NMI NMI NMI NMI NMI NMI
t RESS t RESW t NMIS t NMIH t NMIW
300 20 250 10 200
IRQ IRQ7 IRQ IRQ7 IRQ IRQ7 IRQ6 IRQ2 IRQ0 IRQ0 IRQ0
t IRQS
250
150
150
ns
t IRQH
10
10
10
ns
t IRQW
200
200
200
ns
883
26.
3
2 6.50 32. 768kHz
26.50 A V CC Ta B V CC Ta C V CC 5.0V 10 V SS 0V 2MHz Ta V SS 0V -40 +85
-20 +75 4.0V 5.5V
2MHz Ta -40 +85 V CC Ta
B 16MHz
-20 +75 2.7V 5.5V 2MHz
C 10MHz min max 40
0.5 0.5 tcyc - 30 tcyc - 20 0.5 0.5 tcyc - 20 tcyc - 15
ROM
3.0V
5.5V
F-ZTAT
V SS 0V
-20 +75
A 20MHz min max 20
0.5 0.5 tcyc - 15 tcyc - 10
min
max 30
t AD t AS t AH CS AS RD RD 1 2 IOS t CSD t ASD t RSD1 t RSD2 t RDS t RDH 1 2 3 4 5 WR WR WR WR 1 2 1 2 t ACC1 t ACC2 t ACC3 t ACC4 t ACC5 t WRD1 t WRD2 t WSW1 t WSW2 t WDD t WDS t WDH WAIT WAIT t WTS t WTH 0 20 60 10
1.0 1.5 tcyc - 40 tcyc - 40
ns ns ns
26.10
26.14
40 60 60 60 35 0
1.0 1.5 2.0 2.5 3.0 tcyc - 60 tcyc - 50 tcyc - 60 tcyc - 50 tcyc - 60
30 45 45 45 20 0
1.0 1.5 2.0 2.5 3.0 tcyc - 40 tcyc - 35 tcyc - 40 tcyc - 35 tcyc - 40
20 30 30 30 15 0
1.0 1.5 2.0 2.5 3.0 tcyc - 30 tcyc - 25 tcyc - 30 tcyc - 25 tcyc - 30
ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns
60 60
1.0 1.5 tcyc - 30 tcyc - 30
45 45
1.0 1.5 tcyc - 20 tcyc - 20
30 30
60 0 15 45 5
45 0 10 30 5
30
ns ns ns ns ns
884
26.
4
2 6.51 32. 768kHz 267 I/O 8 01 NMI IR Q0
26.51 A V CC Ta B V CC Ta C V CC 5.0V 10 V SS 0V 32.768kHz*1 2MHz Ta V SS 0V -40 +85
-20 +75 4.0V 5.5V
32.768kHz*1 2MHz Ta -40 +85 V CC 3.0V
B 16MHz min 30 30 100 50 30 30 1.5 2.5 100 50 30 30 1.5 2.5 100 50 4 6 0.6 1.5 1.5 100 0.4 0.6 1.5 1.5 50 50 50 30 200 120 132 132 50 50 30 100 ns ns t cyc 26.24 26.25 4 6 0.4 0.6 1.5 1.5 50 ns 26.23 t Scyc t cyc 30 30 1.5 2.5 50 ns t cyc 26.21 26.22 t cyc 30 30 1.5 2.5 50 ns 26.18 26.20 26.19 t cyc 26.17 max 50 30 30 50 ns 26.16 min
-20 +75 2.7V 5.5V ROM
C 10MHz min max 100 50 50 50 50 1.5 2.5 50 50 1.5 2.5 4 6 t SCKW t SCKr t SCKf t TXD t RXS t RXH 100 100 50 0.4
5.5V Ta
F-ZTAT -20 +75
A
V SS 0V
32.768kHz*1 2MHz
20MHz max 50 ns 26.15
I/O
t PWD t PRS t PRH
FRT
t FTOD t FTIS t FTCS t FTCWH t FTCWL
TMR
t TMOD t TMRS t TMCS t TMCWH t TMCWL
PWMX SCI
t PWOD t Scyc
A/D WDT RESO RESO *1
t TRGS t RESD t RESOW
132
885
26.
26.5.4
A/D
A/D 26.52 26.53
26.52 A/D A V CC 5.0V 10
AN7 AN0 AVCC 5.0V
134 10
266 AVref 4.5V AVCC
V SS AVSS 0V Ta B V CC -20 +75 4.0V 5.5V
2MHz Ta AVCC 4.0V 5.5V -40 +85 AVref 4.0V AVCC
V SS AVSS 0V Ta C -20 +75 ROM
2MHz Ta V CC 2.7V -40 +85 2.7V 5.5V AVref 2.7V AVCC
5.5V AVCC
V SS AVSS 0V Ta C F-ZTAT V CC -20 +75 5.5V AVCC
2MHz
3.0V
3.0V
5.5V
AVref 3.0V
AVCC
V SS AVSS 0V Ta -20 +75
C 10MHz min typ 10 *5 13.4 20 10*
1
2MHz
B 16MHz max min typ 10 8.4 20 10*
3
A 20MHz max min typ 10 6.7 20 10* 5* 4 3.0 3.5 3.5 0.5 4.0 LSB LSB LSB LSB LSB
3
max
s pF k
5* 2 7.0 7.5 7.5 0.5 8.0 *1 4.0V *2 2.7V *3 *4 *5 AVCC 5.5V AVCC 4.0V 11.17 11.17 s s ROM CKS=1 CKS=1 12MHz 12MHz
5* 4 3.0 3.5 3.5 0.5 4.0
3.0V
AVCC 4.0V CKS=0
F-ZTAT
886
26.
26.53 A/D A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C -20 +75 ROM 10
CIN15 CIN0 AVCC 5.0V 10
134
266 AVCC V SS AVSS 0V
AVref 4.5V
Ta AVCC 4.0V 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
5.5V
Ta V CC 2.7V
-40 +85 2.7V 5.5V AVref 2.7V AVCC
5.5V AVCC
V SS AVSS 0V Ta C F-ZTAT V CC -20 +75 5.5V AVCC
2MHz
3.0V
3.0V
5.5V
AVref 3.0V
AVCC
V SS AVSS 0V Ta -20 +75
C 10MHz min typ 10 *
5
2MHz
B 16MHz max min typ 10 13.4 20 10* 1 5* 2 11.0 11.5 11.5 0.5 12.0 8.4 20 10* 3 5* 4 5.0 5.5 5.5 0.5 6.0 max min
A 20MHz typ 10 6.7 20 10* 3 5* 4 5.0 5.5 5.5 0.5 6.0 LSB LSB LSB LSB LSB s pF k max
*1 4.0V *2 2.7V *3 *4 *5
AVCC 5.5V AVCC 4.0V 11.17 11.17 s s ROM CKS=1 CKS=1 12MHz 12MHz 3.0V AVCC 4.0V CKS=0 F-ZTAT
887
26.
26.5.5
D/A
D/A 26.54
26.54 D/A A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C -20 +75 ROM V CC 2.7V Ta -40 +85 2.7V 5.5V AVref 2.7V AVCC 5.5V AVCC 4.0V Ta 5.5V -40 +85 AVref 4.0V AVCC V SS AVSS 0V 10 AVCC 5.0V 10 AVref 4.5V AVCC V SS AVSS 0V
5.5V AVCC
V SS AVSS 0V Ta C F-ZTAT V CC -20 +75 5.5V AVCC
2MHz
3.0V
3.0V
5.5V
AVref 3.0V
AVCC
V SS AVSS 0V Ta -20 +75
C 10MHz min typ 8 20pF 2M 4M 2.0 10 3.0 2.0 max
2MHz
B 16MHz min typ 8 10 1.0 1.5 1.0 max min
A 20MHz typ 8 10 1.0 1.5 1.0 s LSB max
888
26.
26.5.6
26.55
26.55 5V V CC Ta 0 3V V CC 5.0V +75 3.0V 3.6V 10 V SS 0V Ta 0 V SS 0V Ta 0
min. * 1* 2* 4 tP typ. 10
+85 +75
max. 200 ms/ 32
***
1
3
6
tE NWEC
100
1200 ms/ 100
SWE-bit PSU-bit P-bit P-bit PSU-bit PV-bit ** *1
1
*1 *1
4
x y z
10 50 150 10 200
s s s s s s s s 1000 z s s 10 ms s s s s s 120 z 10ms 200 s
*1 *1 *
1
10 4 2 4 N
PV-bit * 1* 4* 5 SWE-bit ESU-bit E-bit E-bit ESU-bit EV-bit
*1
*1 * * 1* 6 *1 *1 *
1 1
x y z
10 200 5 10 10 20 2 5
*1 EV-bit * 1* 6* 7 *1 *2 32 P-bit *1 N
FLMCR1
889
26.
*3 1
FLMCR1
E-bit
*4 tP max P-bit
tP
max z z 200 s tP max N
* 5 P-bit z
*6 tE max E-bit
tE
max z z 10ms tE max N z
*7 E-bit
890
26.
26.5.7
1 F -ZTAT R OM ROM
F-ZTAT ROM
ROM
2 H8S/2144 F-ZTAT H8S/2142 F-ZTAT H8S/2142 ROM
F -ZTAT VCC2 VCL
R OM 26.3
891
26.
26.6
H8S/2144 F-ZTAT (A H8S/2144 ROM H8S/2143 ROM
26.56 26.56
)
26.6.1
*1 3V VCL *1 *2 67A 6A CIN 6A CIN 7
VCC VCC VCL Vin Vin
- 0.3 - 0.3 - 0.3 - 0.3 - 0.3
+7.0 +4.3 +4.3 VCC + 0.3 VCC + 0.3
V V V V V
Vin
- 0.3
VCC + 0.3
AVCC + 0.3
V
Vin AVref AVCC 3V AVCC VAN Topr
- 0.3 - 0.3 - 0.3 - 0.3 - 0.3
AVCC + 0.3 AVCC + 0.3 +7.0 +4.3 AVCC + 0.3 -20 +75 -40 +85
V V V V V
Topr FLASH Tstg - 55 +125
-20 +75 -40 +85
1. 2. 5V 4V
LSI
LSI 7. 0V 3V 4.3V
A *1 VCC1 3V VCL *2 5V 4V VCL VC C1 VC L VC C
VCL
GND
892
26.
26.6.2
DC
DC 26.58 2 6.57 26.59
26.57 DC V CC 5.0V
1 10 AVCC *1 5.0V 10 AVref*1 4.5V AVCC
V SS AVSS*1 0V Ta -20 +75
P67 P60* 2* 5 KIN15 KIN8* IRQ2 IRQ0* IRQ5 IRQ3 High RES MD1 STBY MD0 PA7 PA0* 5 7 (1)(2) Low RES STBY MD1 PA7 PA0 NMI EXTAL (1)(3) High *4 VOH VCC - 0.5 3.5 Low (RESO 123 RESO *1 A/D A/ D 2.0V D/A D/ A 5.5V AVCC AVref AVSS AVC C AVref AVref AVCC VC C ) *4 VOL 0.4 1.0 0.4 V I OH V I OH V I OL V I OL V I OL - 200 - 1mA 1.6mA 10mA 2.6mA A MD0 3 VIL VCC 0.7 2.0 2.0 - 0.3 - 0.3 - 0.3 VCC + 0.3 AVCC + 0.3 VCC + 0.3 0.5 1.0 0.8 V NMI 2 VIH VCC - 0.7 VCC + 0.3 V
3 5
Ta -40 +85
min 1 VT VT VT - VT 0.4 1.0 VCC 0.7 typ max V
EXTAL
*2 P67 P60 *3 IRQ2 *4 IICS=0 *5 6A CIN ADTRG Low VCC+0.3V CIN VCC+0.3V AVCC+0.3V
893
26.
26.57 DC V CC 5.0V
2 10 AVCC *1 5.0V 10 AVref*1 4.5V AVCC
V SS AVSS*1 0V Ta -20 +75
RES STBY NMI MD1 7 16 89AB I TSI MD0 I in
Ta -40 +85
min typ max 10.0 1.0 1.0 1.0 Vin A Vin 0.5 0.5 AVCC - 0.5V VCC - 0.5V A Vin 0.5 VCC - 0.5V
13 MOS RES NMI P52 P97 P42 P86 PA7 PA2 (4) *
2
- Ip
30 60
300 600 80 50 20
A Vin
0V
6AB 4 Cin
pF Vin f Ta
0V 1MHz 25
15 I CC 55 36 *3 1.0 70 55 5.0 20.0 mA f mA f A Ta 50 mA A AVCC=2.0V mA 5.5V 20MHz 20MHz 50 Ta
A/D D/A A/D D/A A/D A/D D/A A/D D/A *
1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 5.5 5.5
AIref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
4.5 2.0
RAM *1 A/D A/ D 2.0V *2 D/A D/ A 5.5V
VRAM
2.0 AVCC AVref AVSS AVC C AVref AVref AVCC
V
VC C
VI H min VC C - 0. 2V VI L max MOS
0. 2V
*3 VRAM VCC 4.5V
VI H min VCC - 0.2V
VI L max 0.2V
894
26.
26.57 DC V CC 4.0V
3 5.5V AVCC *1 4.0V 5.5V AVref*1 4.0V AVCC V SS AVSS*1 0V
Ta -20 +75
P67 P60* *
2 5 5
Ta -40 +85
min 1 VT VT VT - VT VT VT VT - VT 0.3 VCC - 0.7 VCC + 0.3 V 0.4 0.8 VCC 0.7 V VCC 4.5V 1.0 VCC 0.7 typ max V VCC 4.5V 5.5V
KIN15 KIN8* IRQ2 IRQ0* 3 IRQ5 IRQ3
High
RES MD1
STBY MD0
NMI
2
VIH
EXTAL 7
PA7 PA0* 5
VCC 0.7 2.0 2.0
VCC + 0.3 AVCC + 0.3 VCC + 0.3 0.5 1.0 0.8 0.8 V VCC 4.5V VCC 4.5V 5.5V
(1)(2) Low RES STBY MD1 PA7 PA0 MD0 3 VIL
- 0.3 - 0.3 - 0.3
NMI EXTAL (1)(3) High *4 VOH
- 0.3
VCC - 0.5 3.5
V I OH V I OH
- 200 - 1mA
A
VCC 4.5V 3.0 V I OH - 1mA
5.5V
VCC 4.5V Low (RESO 123 RESO *1 A/D A/ D 2.0V D/A D/ A 5.5V AVCC AVref AVSS AVC C AVref AVref AVCC VC C )*
4
VOL
0.4 1.0 0.4
V I OL V I OL V I OL
1.6mA 10mA 2.6mA
*2 P67 P60 *3 IRQ2 *4 IICS=0 *5 6A CIN ADTRG Low VCC+0.3V CIN VCC+0.3V AVCC+0.3V
895
26.
26.57 DC V CC 4.0V
4 5.5V AVCC *1 4.0V 5.5V AVref*1 4.0V AVCC
V SS AVSS*1 0V Ta -20 +75 Ta -40 +85
min RES STBY NMI MD1 7 16 89AB I TSI MD0 I in typ max 10.0 1.0 1.0 1.0 Vin A Vin 0.5 0.5 AVCC - 0.5V VCC - 0.5V A Vin 0.5 VCC - 0.5V
13 MOS 6AB 13 6AB RES NMI P52 P97 P42 P86 PA7 PA2 (4) *2 4
- Ip
30 60 20 40
300 600 200 500 80 50 20
A Vin
0V 5.5V
VCC 4.5V A Vin 0V
VCC 4.5V pF Vin f Ta 0V 1MHz 25
Cin
15 I CC 45 30 *3 1.0 58 46 5.0 20.0 mA f mA f A Ta 50 mA A AVCC=2.0V mA 5.5V 16MHz 16MHz 50 Ta
A/D D/A A/D D/A A/D A/D D/A A/D D/A *1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 5.5 5.5
AI ref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
4.0 2.0
RAM
VRAM
2.0
V
896
26.
*1 A/D A/ D 2.0V *2
D/A D/ A 5.5V VI H min VC C - 0. 2V VI L max MOS
AVCC AVref AVSS AVC C AVref AVref 0. 2V AVCC VC C
*3 VRAM VCC 4.0V
VI H min VCC - 0.2V
VI L max 0.2V
897
26.
26.57 DC V CC 2.7V
5 3.6V*6 AVCC *1 2.7V 3.6V AVref 2.7V 3.6V V SS AVSS*1 0V
Ta -20 +75
min P67 P60 * 2* 5 KIN15 KIN8* 5 IRQ2 IRQ0* 3 IRQ5 IRQ3 High RES MD1 STBY MD0 PA7 PA0* 5 7 (1)(2) Low RES STBY MD1 PA7 PA0 NMI EXTAL (1)(3) High *4 VOH VCC - 0.5 VCC -1.0 V I OH V I OH - 200 - 1mA 3.6V) A MD0 3 VIL VCC 0.7 VCC 0.7 VCC 0.7 - 0.3 - 0.3 - 0.3 VCC + 0.3 AVCC + 0.3 VCC + 0.3 VCC 0.1 VCC 0.2 VCC 0.2 V NMI 2 VIH VCC 0.9 VCC + 0.3 V 1 VT VT VT - VT VCC 0.05 VCC 0.2 VCC 0.7 typ max V
EXTAL
(VCC = 2.7V Low (RESO 123 RESO *1 A/D A/ D 2.0V D/A D/ A 3.6V ) *4 VOL 0.4 1.0 0.4 AVCC AVref AVSS AVC C AVref AVref AVCC VC C V I OL V I OL V I OL 1.6mA 5mA 1.6mA
*2 P67 P60 *3 IRQ2 *4 IICS=0 *5 6A CIN ADTRG Low VCC+0.3V CIN VCC+0.3V AVCC+0.3V
*6
VCC=3.0V
3.6V
898
26.
26.57 DC V CC 2 .7V
6 3 .6V *4 A V CC*1 2 .7V 3 .6V A V ref*1 2 .7V 3 .6V V SS A V SS*1 0 V
Ta -20 +75
min RES STBY NMI MD1 7 16 89AB I TSI MD0 I in typ max 10.0 1.0 1.0 1.0 Vin A Vin 0.5 0.5 AVCC - 0.5V VCC - 0.5V A Vin 0.5 VCC - 0.5V
13 MOS RES NMI P52 P97 P42 P86 PA7 PA2 (4) *2 6AB 4
- Ip
5 30
150 300 80 50 20
A Vin
0V 3.6V
Vcc 2.7V pF Vin f Ta 0V 1MHz 25
Cin
15 I CC 30 20 *3 1.0 40 32 5.0 20.0 mA f mA f A Ta 50 mA A AVCC=2.0V mA 3.6V 10MHz 10MHz 50 Ta
A/D D/A A/D D/A A/D A/D D/A A/D D/A *1
AI CC
1.2 0.01
2.0 5.0 1.0 5.0 5.0 3.6 3.6
AI ref
0.5 2.0 0.01
A AVref=2.0V V
AVCC
AVCC
2.7 2.0
RAM *1 A/D A/ D 2.0V *2 D/A D/ A 3.6V
VRAM
2.0 AVCC AVref AVSS AVC C AVref AVref AVCC
V
VC C
VI H min VC C - 0. 2V VI L max MOS
0. 2V
*3 VRAM VCC 2.7V *4
VI H min VCC - 0.2V
VI L max 0.2V 3.6V 899
VCC=3.0V
26.
26.58 V CC Ta
Low 1 RESO
4.0V
5.5V
V SS
0V Ta -40 +85
min typ max 20 10 3 2 mA ) I OL
-20 +75
PA7 PA4( 123
Low
123
I OL
80 120
mA
High 1 High
- I OH - I OH
2
mA
40
mA
V CC
Low 1
2.7V
3.6V
V SS
0V Ta
-20 +75
min typ max 10 2 1 1 mA ) I OL
PA7 PA4( 123 RESO
Low
123
I OL
40 60
mA
High 1 High
- I OH - I OH
2
mA
30
mA
1. LSI
26. 58
2.
LED
26. 1
26. 2
26.59 V CC 4.0V PA7
Low
5.5V PA4
V CC
min
2.7V
3.6V
3V
V SS 0V
typ
max 0.8 0.5 0.4 V I OL I OL I OL 16mA 8mA 3mA VCC 4.5V 5.5V
VOL
900
26.
26.6.3
AC
AC
26.4
1
26.60 EXTA L EXTA L 24 EXC L
26.60 A V CC Ta B V CC Ta C V CC Ta 5.0V 10 V SS 0V 2MHz Ta V SS 0V -40 +85
-20 +75 4.0V 5.5V
2MHz Ta -40 +85
-20 +75 2.7V 3.6V V SS 0V
2MHz
-20 +75
C 10MHz min t cyc t CH t CL t Cr t Cf t OSC1 ( ) t OSC2 t DEXT 20 8 500 100 30 30 20 20 10 8 500 Max 500 B 16MHz min 62.5 20 20 10 10 10 8 500 max 500 A 20MHz min 50 17 17 8 8 max 500 ns ns ns ns ns ms ms s 26.6 26.7 26.5 26.5
901
26.
2
2 6.61 NMI IRQ0 2 6 7 32. 768kHz
26.61 A V CC Ta B V CC Ta C V CC Ta 5.0V 10 V SS 0V 32.768kHz 2MHz Ta V SS 0V -40 +85
-20 +75 4.0V 5.5V
32.768kHz 2MHz Ta -40 +85
-20 +75 2.7V 3.6V V SS 0V
32.768kHz 2MHz
-20 +75
C 10MHz min max B 16MHz min 200 20 150 10 200 max A 20MHz min 200 20 150 10 200 max ns t cyc ns ns ns 26.9 26.8
RES RES NMI NMI NMI NMI NMI NMI
t RESS t RESW t NMIS t NMIH t NMIW
300 20 250 10 200
IRQ IRQ7 IRQ IRQ7 IRQ IRQ7 IRQ6 IRQ2 IRQ0 IRQ0 IRQ0
t IRQS
250
150
150
ns
t IRQH
10
10
10
ns
t IRQW
200
200
200
ns
902
26.
3
2 6.62 32. 768kHz
26.62 A V CC Ta B V CC Ta C V CC 5.0V 10 V SS 0V 2MHz Ta V SS 0V -40 +85
-20 +75 4.0V 5.5V
2MHz Ta -40 +85 Ta
A 20MHz min max 20
0.5 0.5 tcyc - 15 tcyc - 10
-20 +75 2.7V 3.6V
C 10MHz min max 40
0.5 0.5 tcyc - 30 tcyc - 20 0.5 0.5 tcyc - 20 tcyc - 15
V SS 0V
2MHz
B 16MHz
-20 +75
min
Max 30
t AD t AS t AH CS AS RD RD 1 2 IOS t CSD t ASD t RSD1 t RSD2 t RDS t RDH 1 2 3 4 5 WR WR WR WR 1 2 1 2 t ACC1 t ACC2 t ACC3 t ACC4 t ACC5 t WRD1 t WRD2 t WSW1 t WSW2 t WDD t WDS t WDH WAIT WAIT t WTS t WTH 0 20 60 10
1.0 1.5 tcyc - 40 tcyc - 40
ns ns ns
26.10
26.14
40 60 60 60 35 0
1.0 1.5 2.0 2.5 3.0 tcyc - 60 tcyc - 50 tcyc - 60 tcyc - 50 tcyc - 60
30 45 45 45 20 0
1.0 1.5 2.0 2.5 3.0 tcyc - 40 tcyc - 35 tcyc - 40 tcyc - 35 tcyc - 40
20 30 30 30 15 0
1.0 1.5 2.0 2.5 3.0 tcyc - 30 tcyc - 25 tcyc - 30 tcyc - 25 tcyc - 30
ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns
60 60
1.0 1.5 tcyc - 30 tcyc - 30
45 45
1.0 1.5 tcyc - 20 tcyc - 20
30 30
60 0 15 45 5
45 0 10 30 5
30
ns ns ns ns ns
903
26.
4
2 6.63 32. 768kHz 267 I/O 8 01 NMI IR Q0
26.63 A V CC Ta B 5.0V 10 V SS 0V 32.768kHz*1 2MHz Ta V SS 0V -40 +85
-20 +75 5.5V
V CC 4.0V Ta
32.768kHz*1 2MHz Ta -40 +85
-20 +75 2.7V 3.6V V SS 0V
C 10MHz min max 100 50 50 100 50 50 1.5 2.5 100 50 50 1.5 2.5 100 4 6 t SCKW t SCKr t SCKf t TXD t RXS t RXH 100 100 50 200 132 0.4 0.6 1.5 1.5 100
C
V CC Ta
32.768kHz*1 2MHz
B 16MHz min 30 30 50 30 30 1.5 2.5 50 30 30 1.5 2.5 50 4 6 0.4 0.6 1.5 1.5 50 50 50 30 120 132 132 50 50 30 100 ns ns t cyc 26.24 26.25 4 6 0.4 0.6 1.5 1.5 50 ns 26.23 t Scyc t cyc 30 30 1.5 2.5 50 ns t cyc 26.21 26.22 t cyc 30 30 1.5 2.5 50 ns 26.18 26.20 26.19 t cyc 26.17 max 50 30 30 50 ns 26.16 min A 20MHz max 50 ns 26.15
-20 +75
I/O
t PWD t PRS t PRH
FRT
t FTOD t FTIS t FTCS t FTCWH t FTCWL
TMR
t TMOD t TMRS t TMCS t TMCWH t TMCWL
PWMX SCI
t PWOD t Scyc
A/D WDT RESO RESO *1
t TRGS t RESD t RESOW
904
26.
26.6.4
A/D
A/D
26.64 A/D
26.64
AN7
26.65
AN0 134 266
A
V CC
5.0V 2MHz
10
AVCC
5.0V
10
AVref 4.5V
AVCC
V SS AVSS 0V
Ta B V CC
-20 +75 4.0V 2MHz 5.5V AVCC 4.0V
Ta 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
Ta C V CC
-20 +75 2.7V 2MHz
C 10MHz min typ 10 max min
Ta AVCC 2.7V Ta 3.6V
-40 +85 AVref 2.7V AVCC V SS AVSS 0V
3.6V
-20 +75
B 16MHz typ 10 max min A 20MHz typ 10 8.4 20 10* 1 5* 2 6.7 20 10* 1 5* 2 3.0 3.5 3.5 0.5 4.0 CKS=0 LSB LSB LSB LSB LSB s pF k max
*
3
13.4 20 5
7.0 7.5 7.5 0.5 8.0 *1 *2 *3 11.17 11.17 s s CKS=1 CKS=1 12MHz 12MHz
3.0 3.5 3.5 0.5 4.0
905
26.
26.65 A/D A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C -20 +75 10
CIN15 CIN0 AVCC 5.0V 10
134
266 AVCC V SS AVSS 0V
AVref 4.5V
Ta AVCC 4.0V 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
5.5V
Ta
4
-40 +85 A V CC*4 V SS A V SS 0 V
V CC 3 .0V 2MHz
3 .6V * A V CC 3 .0V Ta
C 10MHz min typ 10 max min
3 .6V *4 A V ref 3 .0V -20 +75
B 16MHz typ 10 max min
A 20MHz typ 10 8.4 20 10* 1 5* 2 6.7 20 10* 1 5* 2 5.0 5.5 5.5 0.5 6.0 CKS=0 LSB LSB LSB LSB LSB s pF k max
*
3
13.4 20 5
11.0 11.5 11.5 0.5 12.0 *1 *2 *3 *4 CIN VCC 3.0V 3.6V AVCC 11.17 11.17 s s CKS=1 CKS=1 12MHz 12MHz
5.0 5.5 5.5 0.5 6.0
3.0V
3.6V AVref
3.0V
3.6V
906
26.
26.6.5
D/A
D/A 26.66
26.66 D/A A V CC 5.0V 2MHz Ta B V CC -20 +75 4.0V 2MHz Ta C V CC -20 +75 2.7V 2MHz
C 10MHz min typ 8 20pF 2M 4M 2.0 10 3.0 2.0 1.0 max min
10
AVCC
5.0V
10
AVref 4.5V
AVCC
V SS AVSS 0V
Ta AVCC 4.0V 5.5V
-40 +85 AVref 4.0V AVCC V SS AVSS 0V
5.5V
Ta AVCC 2.7V Ta 3.6V
-40 +85 AVref 2.7V AVCC V SS AVSS 0V
3.6V
-20 +75
B 16MHz typ 8 10 1.5 1.0 1.0 max min A 20MHz typ 8 10 1.5 1.0 s LSB max
907
26.
26.6.6
26.67
26.67 5V V CC Ta 3V V CC 4.0V 5.5V V SS 0V Ta V SS 0V Ta
min. * 1* 2* 4 tP
-20 +75 3.0V 3.6V
-40 +85
-20 +75
typ. 10 max. 200 ms/ 128
***
1
3
6
tE NWEC
100
1200 ms/ 100
SWE-bit PSU-bit P-bit **
1
*1 *1
4
x y z1 z2 z3
1 50 28 198 8 5 30 200 10 32 202 12
s s s s s s s s s s s 1000 1n6 7 n 1000
P-bit PSU-bit PV-bit
*1 * *1 *1
1
5 4 2 2
PV-bit SWE-bit * 1* 4* 5 SWE-bit ESU-bit E-bit E-bit ESU-bit EV-bit H'FF EV-bit SWE-bit * 1* 6* 7
*1 *
1
100 N
*1 *1 ** *1 *1 *1 * *1 *1
1 1 6
x y z
1 100 10 10 10 20 2 4 100 100
s s ms s s s s s s 120
N
908
26.
*1 * 2 128 P-bit FL MCR 1
*3 1
FLMCR1
E-bit
*4 tP max P-bit + P-bit *5 tP max z1 z2 z3 N
tP
max z1 z2 z1 z2 z3 P-bit n + z3 6 N -6
n 1n6 7 n 1000 *6 tE *7 max E-bit N z z1 z2 tE 30 200 max z N t E(m ax) s s z3 10 s
909
26.
26.6.7
1 F -ZTAT R OM ROM
F-ZTAT ROM
ROM
2 H8S/2144 FZTAT ROM A HD64F2144A H8S/2144 H8S/2143
HD6432144S HD6432143S
VCL F 1 2
VSS
0.47
26.3 5V VCC1 3V 4V VCC VC L VC C 1 VCL VCC
F -ZTAT VCL VCC2
R OM
910
26.
26.7
26.7.1 AC
VCC
RL LSI C RH
C
30pF
RL 2.4k RH 12k
Low High
0.8V 2.0V
26.4
26.7.2
tcyc tCH tCf
tCL
tCr
26.5
EXTAL tDEXT VCC tDEXT
STBY
tOSC1 RES
tOSC1
26.6
911
26.
26.7.3
NMI
IRQi ( i = 0, 1, 2, 6, 7 ) tOSC2
26.7
tRESS RES tRESW
tRESS
26.8
tNMIS NMI tNMIW
tNMIH
IRQi (i = 7
0) tIRQS
tIRQW tIRQH
IRQ
tIRQS IRQ
26.9
912
26.
26.7.4
T1 T2
tAD A23 A0 IOS* tCSD tAS tASD AS* tASD tAH
tRSD1 RD tAS
tACC2
tRSD2
tACC3 D15 D0
tRDS tRDH
tWRD2 HWR LWR tAS tWDD D15 D0 tWSW1
tWRD2 tAH tWDH
* AS IOS
SYSCR IOSE
26.10
2
913
26.
T1
T2
T3
tAD A23 A0 IOS* tCSD tAS tASD tASD tAH
AS* tRSD1 RD tAS D15 D0 tACC5 tRDS tRDH
tACC4
tRSD2
tWRD1 HWR LWR tWDD tWDS D15 D0 tWSW2
tWRD2 tAH tWDH
*
AS IOS
SYSCR IOSE
26.11
3
914
26.
T1
T2
Tw
T3
A23
A0
IOS*
AS*
RD
D15
D0
HWR
LWR
D15
D0
tWTS tWTH WAIT
tWTS tWTH
*
AS
IOS
SYSCR
IOSE
26.12
3
1
T1
T2
T3
T1
T2
tAD A23 A0 IOS* tAS tASD AS* tRSD2 RD tACC3 D15 D0 tRDS tRDH tASD tAH
*
AS IOS
SYSCR IOSE
26.13
ROM
2
915
26.
T1
T2
T3
T1
tAD A23 A0 IOS*
AS * tRSD2 RD tACC1 tRDS tRDH D15 D0
*
AS IOS
SYSCR IOSE
26.14
ROM
1
26.7.5
T1 T2
tPRS 9 A B
tPRH
tPWD 6 8 9 A B
26.15 I/O
916
26.
tFTOD FTOA FTOB
tFTIS FTIA FTIB FTIC FTID
26.16 FRT
tFTCS FTCI tFTCWL tFTCWH
26.17 FRT
tTMOD TMO0 TMO1 TMOX
26.18 8
tTMCS TMCI0 TMCI1 TMIX TMIY tTMCWL tTMCWH
tTMCS
26.19 8
917
26.
tTMRS TMRI0 TMRI1 TMIX TMIY
26.20 8
tPWOD PW15 PW0 PWX1 PWX0
26.21 PWM PWMX
tSCKW SCK0 SCK2
tSCKr
tSCKf
tScyc
26.22 SCK
SCK0 SCK2 tTXD TxD0 TxD2
tRXS RxD0 RxD2
tRXH
26.23 SCI
tTRGS ADTRG
26.24 A/D
918
26.
tRESD RESO tRESOW
tRESD
26.25 WDT
RESO
1
CS/HA0 tHAR IOR tHRD HDB7 HDB0 tHRF tHRPW tHRA
tHIRQ i 1 11 HIRQi* 12 3 4
* 25.14
4
B
2
CS/HA0 tHAW IOW tHDW HDB7 HDB0 tHWD tHWPW tHWA
tHGA GA20
26.26
919
26.
1
tKBIS
tKBIH
KCLK/KD*1
2
a
T1
T2
tKBOD
KCLK/KD*1
b KCLK/KD*1
tKBF
1 *1 KCLK PS2AC PS2CC KD PS2AD PS2CD
N
26.27
VIH SDA0 SDA1 tBUF tSTAH tSCLH tSTAS tSP tSTOS VIL
SCL0 SCL1 P* S* tSf tof tSCLL tSCL tSr tSDAH Sr* tSDAS P*
*SP S P Sr
Sr
26.28 I 2 C
920
A.
....................................................................................................................................... 923 A.1 A.2 A.3 A.4 A.5 ...................................................................................... 923 ...................................................................................... 934 .................................................................. 944 ............................................................................... 948 ............................................................................ 958 .................................................................................................................... 968 B.1 B.2 B.3 ......................................................................................... 968 .................................................................................. 974 ................................................................................................ 981 ..........................................................................................................1060 C.1 C.2 C.3 C.4 C.5 C.6 C.7 C.8 C.9 C.10 C.11 1 2 3 4 5 6 7 8 9 A B ..............................................................................1060 ..............................................................................1061 ..............................................................................1064 ..............................................................................1065 ..............................................................................1072 ..............................................................................1075 ..............................................................................1080 ..............................................................................1081 ..............................................................................1087 ..............................................................................1092 ..............................................................................1095
B.
I/O
C.
I/O
D.
................................................................................................................................1098 D.1 ..........................................................1098 .........................................1100 E.1 E.2 ...................................1100 ............................1100
E.
F.
ROM F.1 F.2
.......................................................................................................................1101 ROM ROM ..............................................1101 .........................................................................1102
G. H.
................................................................................................................................1103 ............................................................................................................................1105
922
A.
A.1
Rd Rs Rn ERn MAC (EAd) (EAs) EXR CCR N Z V C PC SP #IMM disp CCR CCR CCR CCR N Z V C *1 32 32 *2 *1
*1
8
16 *1
24
32
8 16 24 32 8 R0H ER0 LSI ER7 R7H R0L R7L 16 R0 R7 E0 E7
32 *2 MAC
923
0 1
0 1
924
A.1 1
@-ERn/@ERn+
@(d,ERn)
@(d,PC)
*1 @@aa
@ERn
@aa
#xx
Rn
I #xx:8 Rd8 Rs8 Rd8 @ERs Rd8 @(d:16,ERs) Rd8 @(d:32,ERs) Rd8 @ERs Rd8,ERs32+1 ERs32 @aa:8 Rd8 @aa:16 Rd8 @aa:32 Rd8 Rs8 @ERd Rs8 @(d:16,ERd) Rs8 @(d:32,ERd) ERd32-1 ERd32,Rs8 @ERd Rs8 @aa:8 Rs8 @aa:16 Rs8 @aa:32 #xx:16 Rd16 Rs16 Rd16 @ERs Rd16 @(d:16,ERs) Rd16 @(d:32,ERs) Rd16 @ERs Rd16,ERs32+2 ERs32 @aa:16 Rd16 @aa:32 Rd16 Rs16 @ERd Rs16 @(d:16,ERd) Rs16 @(d:32,ERd) ERd32-2 ERd32,Rs16 @ERd Rs16 @aa:16 Rs16 @aa:32 #xx:32 ERd32 ERs32 ERd32 @ERs ERd32 @(d:16,ERs) ERd32 @(d:32,ERs) ERd32 @ERs ERd32,ERs32+4 ERs32 @aa:16 ERd32 @aa:32 ERd32 ERs32 @ERd ERs32 @(d:16,ERd) ERs32 @(d:32,ERd) ERd32-4 ERd32,ERs32 @ERd ERs32 @aa:16 ERs32 @aa:32 @SP Rn16,SP+2 SP @SP ERn32,SP+4 SP SP-2 SP,Rn16 @SP SP-4 SP,ERn32 @SP (@SP ERn32,SP+4 SP) (SP-4 SP,ERn32 @SP)
HNZVC 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 2 3 5 3 2 3 4 2 3 5 3 2 3 4 2 1 2 3 5 3 3 4 2 3 5 3 3 4 3 1 4 5 7 5 5 6 4 5 7 5 5 6 3 5 3 5 7/9/11 1 7/9/11 1 2 2
MOV
POP PUSH LDM*4 STM*4
MOVFPE MOVTPE
MOV.B #xx:8,Rd MOV.B Rs,Rd MOV.B @ERs,Rd MOV.B @(d:16,ERs),Rd MOV.B @(d:32,ERs),Rd MOV.B @ERs+,Rd MOV.B @aa:8,Rd MOV.B @aa:16,Rd MOV.B @aa:32,Rd MOV.B Rs,@ERd MOV.B Rs,@(d:16,ERd) MOV.B Rs,@(d:32,ERd) MOV.B Rs,@-ERd MOV.B Rs,@aa:8 MOV.B Rs,@aa:16 MOV.B Rs,@aa:32 MOV.W #xx:16,Rd MOV.W Rs,Rd MOV.W @ERs,Rd MOV.W @(d:16,ERs),Rd MOV.W @(d:32,ERs),Rd MOV.W @ERs+,Rd MOV.W @aa:16,Rd MOV.W @aa:32,Rd MOV.W Rs,@ERd MOV.W Rs,@(d:16,ERd) MOV.W Rs,@(d:32,ERd) MOV.W Rs,@-ERd MOV.W Rs,@aa:16 MOV.W Rs,@aa:32 MOV.L #xx:32,ERd MOV.L ERs,ERd MOV.L @ERs,ERd MOV.L @(d:16,ERs),ERd MOV.L @(d:32,ERs),ERd MOV.L @ERs+,ERd MOV.L @aa:16,ERd MOV.L @aa:32,ERd MOV.L ERs,@ERd MOV.L ERs,@(d:16,ERd) MOV.L ERs,@(d:32,ERd) MOV.L ERs,@-ERd MOV.L ERs,@aa:16 MOV.L ERs,@aa:32 POP.W Rn POP.L ERn PUSH.W Rn PUSH.L ERn LDM @SP+,(ERm-ERn) STM (ERm-ERn),@-SP MOVFPE @aa:16,Rd MOVTPE Rs,@aa:16
B B B B B B B B B B B B B B B B W W W W W W W W W W W W W W L L L L L L L L L L L L L L W L W L L L LSI
2 2 2 4 8 2 2 4 6 2 4 8 2 2 4 6 4 2 2 4 8 2 4 6 2 4 8 2 4 6 6 2 4 6 10 4 6 8 4 6 10 4 6 8 2 4 2 4 4 4
925
2
@-ERn/@ERn+
@(d,ERn)
@(d,PC)
@@aa
@ERn
@aa
#xx
Rn
I
Rd8+#xx:8 Rd8 Rd8+Rs8 Rd8 Rd16+#xx:16 Rd16 Rd16+Rs16 Rd16 ERd32+#xx:32 ERd32 ERd32+ERs32 ERd32 Rd8+#xx:8+C Rd8 Rd8+Rs8+C Rd8 ERd32+1 ERd32 ERd32+2 ERd32 ERd32+4 ERd32 Rd8+1 Rd8 Rd16+1 Rd16 Rd16+2 Rd16 ERd32+1 ERd32 ERd32+2 ERd32 Rd8 10 Rd8 Rd8-Rs8 Rd8 Rd16-#xx:16 Rd16 Rd16-Rs16 Rd16 ERd32-#xx:32 ERd32 ERd32-ERs32 ERd32 Rd8-#xx:8-C Rd8 Rd8-Rs8-C Rd8 ERd32-1 ERd32 ERd32-2 ERd32 ERd32-4 ERd32 Rd8-1 Rd8 Rd16-1 Rd16 Rd16-2 Rd16 ERd32-1 ERd32 ERd32-2 ERd32 Rd8 10 Rd8 Rd8 Rs8 Rd16 Rd16 Rs16 ERd32 Rd8 Rs8 Rd16 Rd16 Rs16 ERd32 Rd16 Rs8 Rd16 (RdH RdL )( ERd32 Rs16 ERd32 (Ed Rd )( Rd16 Rs8 Rd16 (RdH RdL )( ) )
HNZVC 1 1 2 1 3 1 1 1 1 1 1 1 1 1 1 1 1 1 2 1 3 1 1 1 1 1 1 1 1 1 1 1 1 12 20 13 21 12 20 13 21 1 1 2 1 3 1 1 1 1 1 1 1 1 4
ADD
ADD.B #xx:8,Rd ADD.B Rs,Rd ADD.W #xx:16,Rd ADD.W Rs,Rd ADD.L #xx:32,ERd ADD.L ERs,ERd ADDX ADDX #xx:8,Rd ADDX Rs,Rd ADDS ADDS #1,ERd ADDS #2,ERd ADDS #4,ERd INC INC.B Rd INC.W #1,Rd INC.W #2,Rd INC.L #1,ERd INC.L #2,ERd DAA DAA Rd SUB SUB.B Rs,Rd SUB.W #xx:16,Rd SUB.W Rs,Rd SUB.L #xx:32,ERd SUB.L ERs,ERd SUBX SUBX #xx:8,Rd SUBX Rs,Rd SUBS SUBS #1,ERd SUBS #2,ERd SUBS #4,ERd DEC DEC.B Rd DEC.W #1,Rd DEC.W #2,Rd DEC.L #1,ERd DEC.L #2,ERd DAS DAS Rd MULXU MULXU.B Rs,Rd MULXU.W Rs,ERd MULXS MULXS.B Rs,Rd MULXS.W Rs,ERd DIVXU DIVXU.B Rs,Rd DIVXU.W Rs,ERd DIVXS DIVXS.B Rs,Rd DIVXS.W Rs,ERd CMP CMP.B #xx:8,Rd CMP.B Rs,Rd CMP.W #xx:16,Rd CMP.W Rs,Rd CMP.L #xx:32,ERd CMP.L ERs,ERd NEG.B Rd NEG.W Rd NEG.L ERd EXTU.W Rd EXTU.L ERd EXTS.W Rd EXTS.L ERd TAS MAC TAS @ERd*3 MAC @ERn+,@ERm+
B B W W L L B B L L L B W W L L B B W W L L B B L L L B W W L L B B W B W B W B W B B W W L L B W L W L W L B
2 2 4 2 6 2 2 2 2 2 2 2 2 2 2 2 2 2 4 2 6 2 2 2 2 2 2 2 2 2 2 2 2 2 2 4 4 2 2 4 4 2 2 4 2 6 2 2 2 2 2 2 2 2 4
3 3 4 4 5 5
* 3 3 4 4 5 5
*
*
*
67 67
)
87
)
ERd32 Rs16 ERd32 (Ed Rd )( Rd8-#xx:8 Rd8-Rs8 Rd16-#xx:16 Rd16-Rs16 ERd32-#xx:32 ERd32-ERs32 0-Rd8 Rd8 0-Rd16 Rd16 0-ERd32 ERd32 15 8> of Rd16) 31 16> of ERd32) 7> of Rd16) (< 15 8> of Rd16) (< 15> of ERd32) (< 31 16> of ERd32) @ERd-0 CCR , (1) (< 7> of @ERd) 0 (< 0 (< (<
87
3 3 4 4
NEG
EXTU EXTS
0 0
0 0 0 0 0
CLRMAC CLRMAC
LDMAC LDMAC ERs,MACH LDMAC ERs,MACL STMAC STMAC MACH,ERd STMAC MACL,ERd
LSI
2
926
3
@-ERn/@ERn+
@(d,ERn)
@(d,PC)
* @@aa
@ERn
@aa
#xx
Rn
I Rd8#xx:8 Rd8 Rd8Rs8 Rd8 Rd16#xx:16 Rd16 Rd16Rs16 Rd16 ERd32#xx:32 ERd32 ERd32ERs32 ERd32 Rd8#xx:8 Rd8 Rd8Rs8 Rd8 Rd16#xx:16 Rd16 Rd16Rs16 Rd16 ERd32#xx:32 ERd32 ERd32ERs32 ERd32 Rd8#xx:8 Rd8 Rd8Rs8 Rd8 Rd16#xx:16 Rd16 Rd16Rs16 Rd16 ERd32#xx:32 ERd32 ERd32ERs32 ERd32 Rd8 Rd8 Rd16 Rd16 ERd32 ERd32
HNZVC 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
AND
OR
XOR
NOT
AND.B #xx:8,Rd AND.B Rs,Rd AND.W #xx:16,Rd AND.W Rs,Rd AND.L #xx:32,ERd AND.L ERs,ERd OR.B #xx:8,Rd OR.B Rs,Rd OR.W #xx:16,Rd OR.W Rs,Rd OR.L #xx:32,ERd OR.L ERs,ERd XOR.B #xx:8,Rd XOR.B Rs,Rd XOR.W #xx:16,Rd XOR.W Rs,Rd XOR.L #xx:32,ERd XOR.L ERs,ERd NOT.B Rd NOT.W Rd NOT.L ERd
B B W W L L B B W W L L B B W W L L B W L
2 2 4 2 6 4 2 2 4 2 6 4 2 2 4 2 6 4 2 2 2
927
4
@-ERn/@ERn+
@(d,ERn)
@(d,PC)
@@aa
@ERn
@aa
#xx
Rn
I
HNZVC
SHAL
SHAL.B Rd SHAL.B #2,Rd SHAL.W Rd SHAL.W #2,Rd SHAL.L ERd SHAL.L #2,ERd SHAR SHAR.B Rd SHAR.B #2,Rd SHAR.W Rd SHAR.W #2,Rd SHAR.L ERd SHAR.L #2,ERd SHLL SHLL.B Rd SHLL.B #2,Rd SHLL.W Rd SHLL.W #2,Rd SHLL.L ERd SHLL.L #2,ERd SHLR SHLR.B Rd SHLR.B #2,Rd SHLR.W Rd SHLR.W #2,Rd SHLR.L ERd SHLR.L #2,ERd ROTXL ROTXL.B Rd ROTXL.B #2,Rd ROTXL.W Rd ROTXL.W #2,Rd ROTXL.L ERd ROTXL.L #2,ERd ROTXR ROTXR.B Rd ROTXR.B #2,Rd ROTXR.W Rd ROTXR.W #2,Rd ROTXR.L ERd ROTXR.L #2,ERd ROTL ROTL.B Rd ROTL.B #2,Rd ROTL.W Rd ROTL.W #2,Rd ROTL.L ERd ROTL.L #2,ERd ROTR ROTR.B Rd ROTR.B #2,Rd ROTR.W Rd ROTR.W #2,Rd ROTR.L ERd ROTR.L #2,ERd
B B W W L L B B W W L L B B W W L L B B W W L L B B W W L L B B W W L L B B W W L L B B W W L L
2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2
0 C MSB LSB
MSB
LSB C
0 C MSB LSB
0 MSB LSB C
0 0 0 0 0 0
C MSB
LSB
MSB
LSB C
C MSB
LSB
MSB
LSB C
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
928
5
@-ERn/@ERn+
@(d,ERn)
@(d,PC)
*1 @@aa
@ERn
@aa
#xx
Rn
I
(#xx:3 of Rd8) 1 (#xx:3 of @ERd) 1 (#xx:3 of @aa:8) 1 (#xx:3 of @aa:16) 1 (#xx:3 of @aa:32) 1 (Rn8 of Rd8) 1 (Rn8 of @ERd) 1 (Rn8 of @aa:8) 1 (Rn8 of @aa:16) 1 (Rn8 of @aa:32) 1 (#xx:3 of Rd8) 0 (#xx:3 of @ERd) 0 (#xx:3 of @aa:8) 0 (#xx:3 of @aa:16) 0 (#xx:3 of @aa:32) 0 (Rn8 of Rd8) 0 (Rn8 of @ERd) 0 (Rn8 of @aa:8) 0 (Rn8 of @aa:16) 0 (Rn8 of @aa:32) 0 (#xx:3 of Rd8) [ (#xx:3 of Rd8)] (#xx:3 of @ERd) [ (#xx:3 of @ERd)] (#xx:3 of @aa:8) [ (#xx:3 of @aa:8)] (#xx:3 of @aa:16) [ (#xx:3 of @aa:16)] (#xx:3 of @aa:32) [ (#xx:3 of @aa:32)] (Rn8 of Rd8) [ (Rn8 of Rd8)] (Rn8 of @ERd) [ (Rn8 of @ERd)] (Rn8 of @aa:8) [ (Rn8 of @aa:8)] (Rn8 of @aa:16) [ (Rn8 of @aa:16)] (Rn8 of @aa:32) [ (Rn8 of @aa:32)] (#xx:3 of Rd8) Z (#xx:3 of @ERd) Z (#xx:3 of @aa:8) Z (#xx:3 of @aa:16) Z (#xx:3 of @aa:32) Z (Rn8 of Rd8) Z (Rn8 of @ERd) Z (Rn8 of @aa:8) Z (Rn8 of @aa:16) Z (Rn8 of @aa:32) Z (#xx:3 of Rd8) C (#xx:3 of @ERd) C (#xx:3 of @aa:8) C (#xx:3 of @aa:16) C (#xx:3 of @aa:32) C (#xx:3 of Rd8) C (#xx:3 of @ERd) C (#xx:3 of @aa:8) C (#xx:3 of @aa:16) C (#xx:3 of @aa:32) C C (#xx:3 of Rd8) C (#xx:3 of @ERd) C (#xx:3 of @aa:8) C (#xx:3 of @aa:16) C (#xx:3 of @aa:32) C (#xx:3 of Rd8) C (#xx:3 of @ERd) C (#xx:3 of @aa:8) C (#xx:3 of @aa:16) C (#xx:3 of @aa:32) C(#xx:3 of Rd8) C C(#xx:3 of @ERd) C C(#xx:3 of @aa:8) C C(#xx:3 of @aa:16) C C(#xx:3 of @aa:32) C
HNZVC 1 4 4 5 6 1 4 4 5 6 1 4 4 5 6 1 4 4 5 6 1 4 4 5 6 1 4 4 5 6 1 3 3 4 5 1 3 3 4 5 1 3 3 4 5 1 3 3 4 5 1 4 4 5 6 1 4 4 5 6 1 3 3 4 5
BSET
BSET #xx:3,Rd BSET #xx:3,@ERd BSET #xx:3,@aa:8 BSET #xx:3,@aa:16 BSET #xx:3,@aa:32 BSET Rn,Rd BSET Rn,@ERd BSET Rn,@aa:8 BSET Rn,@aa:16 BSET Rn,@aa:32 BCLR BCLR #xx:3,Rd BCLR #xx:3,@ERd BCLR #xx:3,@aa:8 BCLR #xx:3,@aa:16 BCLR #xx:3,@aa:32 BCLR Rn,Rd BCLR Rn,@ERd BCLR Rn,@aa:8 BCLR Rn,@aa:16 BCLR Rn,@aa:32 BNOT BNOT #xx:3,Rd BNOT #xx:3,@ERd BNOT #xx:3,@aa:8 BNOT #xx:3,@aa:16 BNOT #xx:3,@aa:32 BNOT Rn,Rd BNOT Rn,@ERd BNOT Rn,@aa:8 BNOT Rn,@aa:16 BNOT Rn,@aa:32 BTST BTST #xx:3,Rd BTST #xx:3,@ERd BTST #xx:3,@aa:8 BTST #xx:3,@aa:16 BTST #xx:3,@aa:32 BTST Rn,Rd BTST Rn,@ERd BTST Rn,@aa:8 BTST Rn,@aa:16 BTST Rn,@aa:32 BLD BLD #xx:3,Rd BLD #xx:3,@ERd BLD #xx:3,@aa:8 BLD #xx:3,@aa:16 BLD #xx:3,@aa:32 BILD BILD #xx:3,Rd BILD #xx:3,@ERd BILD #xx:3,@aa:8 BILD #xx:3,@aa:16 BILD #xx:3,@aa:32 BST BST #xx:3,Rd BST #xx:3,@ERd BST #xx:3,@aa:8 BST #xx:3,@aa:16 BST #xx:3,@aa:32 BIST BIST #xx:3,Rd BIST #xx:3,@ERd BIST #xx:3,@aa:8 BIST #xx:3,@aa:16 BIST #xx:3,@aa:32 BAND BAND #xx:3,Rd BAND #xx:3,@ERd BAND #xx:3,@aa:8 BAND #xx:3,@aa:16 BAND #xx:3,@aa:32
B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B B
2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8
929
5
@-ERn/@ERn+
@(d,ERn)
*1 @(d,PC) @@aa @aa
@ERn
#xx
Rn
I C [ (#xx:3 of Rd8)] C C [ (#xx:3 of @ERd)] C C [ (#xx:3 of @aa:8)] C C [ (#xx:3 of @aa:16)] C C [ (#xx:3 of @aa:32)] C C(#xx:3 of Rd8) C C(#xx:3 of @ERd) C C(#xx:3 of @aa:8) C C(#xx:3 of @aa:16) C C(#xx:3 of @aa:32) C C [ (#xx:3 of Rd8)] C C [ (#xx:3 of @ERd)] C C [ (#xx:3 of @aa:8)] C C [ (#xx:3 of @aa:16)] C C [ (#xx:3 of @aa:32)] C C (#xx:3 of Rd8) C C (#xx:3 of @ERd) C C (#xx:3 of @aa:8) C C (#xx:3 of @aa:16) C C (#xx:3 of @aa:32) C C [ (#xx:3 of Rd8)] C C [ (#xx:3 of @ERd)] C C [ (#xx:3 of @aa:8)] C C [ (#xx:3 of @aa:16)] C C [ (#xx:3 of @aa:32)] C
HNZVC 1 3 3 4 5 1 3 3 4 5 1 3 3 4 5 1 3 3 4 5 1 3 3 4 5
BIAND BIAND #xx:3,Rd BIAND #xx:3,@ERd BIAND #xx:3,@aa:8 BIAND #xx:3,@aa:16 BIAND #xx:3,@aa:32 BOR BOR #xx:3,Rd BOR #xx:3,@ERd BOR #xx:3,@aa:8 BOR #xx:3,@aa:16 BOR #xx:3,@aa:32 BIOR BIOR #xx:3,Rd BIOR #xx:3,@ERd BIOR #xx:3,@aa:8 BIOR #xx:3,@aa:16 BIOR #xx:3,@aa:32 BXOR BXOR #xx:3,Rd BXOR #xx:3,@ERd BXOR #xx:3,@aa:8 BXOR #xx:3,@aa:16 BXOR #xx:3,@aa:32 BIXOR BIXOR #xx:3,Rd BIXOR #xx:3,@ERd BIXOR #xx:3,@aa:8 BIXOR #xx:3,@aa:16 BIXOR #xx:3,@aa:32
B B B B B B B B B B B B B B B B B B B B B B B B B
2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8 2 4 4 6 8
930
6
@-ERn/@ERn+
@(d,ERn)
* @(d,PC) @@aa @aa
@ERn
#xx
Rn
I if condition is true then PC PC d else next; Always Never CZ=0 CZ=1 C=0 C=1 Z=0 Z=1 V=0 V=1 N=0 N=1 NV=0 NV=1 (NV)=0 (NV)=1 PC PC PC PC PC PC PC PC PC ERn aa:24 @aa:8 @-SP,PC @-SP,PC @-SP,PC @-SP,PC @-SP,PC @SP+
HNZVC 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 2 3 4 3 4 3 4 4 4 5 4 5 4 5 6 5
Bcc
JMP
BSR JSR
RTS
BRA d:8(BT d:8) BRA d:16(BT d:16) BRN d:8(BF d:8) BRN d:16(BF d:16) BHI d:8 BHI d:16 BLS d:8 BLS d:16 BCC d:8(BHS d:8) BCC d:16(BHS d:16) BCS d:8(BLO d:8) BCS d:16(BLO d:16) BNE d:8 BNE d:16 BEQ d:8 BEQ d:16 BVC d:8 BVC d:16 BVS d:8 BVS d:16 BPL d:8 BPL d:16 BMI d:8 BMI d:16 BGE d:8 BGE d:16 BLT d:8 BLT d:16 BGT d:8 BGT d:16 BLE d:8 BLE d:16 JMP @ERn JMP @aa:24 JMP @@aa:8 BSR d:8 BSR d:16 JSR @ERn JSR @aa:24 JSR @@aa:8 RTS
2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 4 2 2 4 2 4 2 2
PC+d:8 PC+d:16 ERn aa:24 @aa:8
931
7
@-ERn/@ERn+
@(d,ERn)
@(d,PC)
* @@aa
@ERn
@aa
#xx
Rn
I PC @-SP,CCR @-SP, EXR @-SP,< > PC EXR @SP+,CCR @SP+, PC @SP+ 1
HNZVC 7 9 5 9 2 1 2 1 1 3 3 4 4 6 6 4 4 4 4 5 5 1 1 3 3 4 4 6 6 4 4 4 4 5 5 1 2 1 2 1 2 1 8 9
TRAPA TRAPA #xx:2 RTE RTE
SLEEP SLEEP LDC LDC #xx:8,CCR LDC #xx:8,EXR LDC Rs,CCR LDC Rs,EXR LDC @ERs,CCR LDC @ERs,EXR LDC @(d:16,ERs),CCR LDC @(d:16,ERs),EXR LDC @(d:32,ERs),CCR LDC @(d:32,ERs),EXR LDC @ERs+,CCR LDC @ERs+,EXR LDC @aa:16,CCR LDC @aa:16,EXR LDC @aa:32,CCR LDC @aa:32,EXR STC STC CCR,Rd STC EXR,Rd STC CCR,@ERd STC EXR,@ERd STC CCR,@(d:16,ERd) STC EXR,@(d:16,ERd) STC CCR,@(d:32,ERd) STC EXR,@(d:32,ERd) STC CCR,@-ERd STC EXR,@-ERd STC CCR,@aa:16 STC EXR,@aa:16 STC CCR,@aa:32 STC EXR,@aa:32 ANDC ANDC #xx:8,CCR ANDC #xx:8,EXR ORC ORC #xx:8,CCR ORC #xx:8,EXR XORC XORC #xx:8,CCR XORC #xx:8,EXR NOP NOP
B B B B W W W W W W W W W W W W B B W W W W W W W W W W W W B B B B B B
2 4 2 2 4 4 6 6 10 10 4 4 6 6 8 8 2 2 4 4 6 6 10 10 4 4 6 6 8 8 2 4 2 4 2 4 2
#xx:8 CCR #xx:8 EXR Rs8 CCR Rs8 EXR @ERs CCR @ERs EXR @(d:16,ERs) CCR @(d:16,ERs) EXR @(d:32,ERs) CCR @(d:32,ERs) EXR @ERs CCR,ERs32+2 ERs32 @ERs EXR,ERs32+2 ERs32 @aa:16 CCR @aa:16 EXR @aa:32 CCR @aa:32 EXR CCR Rd8 EXR Rd8 CCR @ERd EXR @ERd CCR @(d:16,ERd) EXR @(d:16,ERd) CCR @(d:32,ERd) EXR @(d:32,ERd) ERd32-2 ERd32,CCR @ERd ERd32-2 ERd32,EXR @ERd CCR @aa:16 EXR @aa:16 CCR @aa:32 EXR @aa:32 CCR#xx:8 CCR EXR#xx:8 EXR CCR#xx:8 CCR EXR#xx:8 EXR CCR#xx:8 CCR EXR#xx:8 EXR PC PC+2
932
8
@-ERn/@ERn+
@(d,ERn)
* @(d,PC) @@aa @aa
@ERn
#xx
Rn
I 4 if R4L0 Repeat @ER5 @ER6 R5+1 R5 R6+1 R6 R4L-1 R4L Until R4L=0 else next; if R40 Repeat @ER5 @ER6 R5+1 R5 R6+1 R6 R4-1 R4 Until R4=0 else next;
HNZVC 4+2n *2
EEPMOV EEPMOV.B
EEPMOV.W
4
4+2n *2
*1 *2 *3 *4 1 2 3 4 5 6 7 8 9 EXR 0 1 1 LSI 11 27 0 1 1 0 0 0 11 27 1 1 0 0 0 n R4L TAS STM/LDM 2 7 R4 ER0 ER1 ER4 ER5 ER0 ER6 3 9 4 11
933
934
1 3 4 5 6 7 8 9 10 2 IMM IMM IMM rd rd rd erd erd erd erd erd rd IMM IMM 6 0 ers 0 erd IMM 0 IMM 0 IMM abs 7 0 IMM 7 0 6 0 IMM 0 abs disp disp disp disp disp disp disp disp disp disp 6 0 0 0 7 7 6 6 6 6 rd rd rd erd 0 1 rd 0 0 0 0 0 0 0 0 0 0 0 0 0 8 0 7 0 7 0 0 0 0 9 0 E 1 7 6 7 0 0 0 7 7 7 6 6 4 5 4 5 4 5 4 5 4 5 4 5 4 5 4 5 4 5 4 5 rd 8 9 9 A A B B B rd E rd 6 9 6 A 1 6 1 6 C E A A 0 8 1 8 2 8 3 8 4 8 5 8 6 8 7 8 8 8 9 8 rs 1 rs 0 1 1 ers 0 0 0 0 8 0 9 IMM rs IMM rs 6 rs 0 6 F IMM 4 0 IMM 0 erd abs 1 3 disp 0 disp 1 disp 2 disp 3 disp 4 disp 5 disp 6 disp 7 disp 8 disp 9
A.2
A.2
1
ADD
ADDS
ADDX
AND
ANDC
BAND
Bcc
ADD.B #xx:8,Rd ADD.B Rs,Rd ADD.W #xx:16,Rd ADD.W Rs,Rd ADD.L #xx:32,ERd ADD.L ERs,ERd ADDS #1,ERd ADDS #2,ERd ADDS #4,ERd ADDX #xx:8,Rd ADDX Rs,Rd AND.B #xx:8,Rd AND.B Rs,Rd AND.W #xx:16,Rd AND.W Rs,Rd AND.L #xx:32,ERd AND.L ERs,ERd ANDC #xx:8,CCR ANDC #xx:8,EXR BAND #xx:3,Rd BAND #xx:3,@ERd BAND #xx:3,@aa:8 BAND #xx:3,@aa:16 BAND #xx:3,@aa:32 BRA d:8 (BT d:8) BRA d:16 (BT d:16) BRN d:8 (BF d:8) BRN d:16 (BF d:16) BHI d:8 BHI d:16 BLS d:8 BLS d:16 BCC d:8 (BHS d:8) BCC d:16 (BHS d:16) BCS d:8 (BLO d:8) BCS d:16 (BLO d:16) BNE d:8 BNE d:16 BEQ d:8 BEQ d:16 BVC d:8 BVC d:16 BVS d:8 BVS d:16
A.2
2
1 3 0 disp B disp C disp D disp E disp disp 7 7 2 2 0 0 7 0 7 2 0 IMM 0 abs 6 6 2 2 rn rn 0 0 6 abs 7 7 6 6 0 0 7 abs 7 7 7 7 1 IMM 1 IMM abs 0 0 7 abs 7 7 4 4 0 0 1 IMM 1 IMM abs 7 abs 6 6 8 8 7 7 0 0 1 IMM 1 IMM abs 6 abs 7 1 IMM 0 6 7 1 IMM 0 4 1 IMM 0 7 4 1 IMM 0 7 1 IMM 0 7 7 1 IMM 0 6 1 IMM 0 7 6 1 IMM 0 1 IMM 1 IMM abs 2 rn 0 6 2 rn 0 abs 2 0 IMM 0 IMM 0 IMM abs 0 rd 0 8 8 rd 0 0 disp 0 disp 0 disp 0 disp disp 4 5 6 7 8 9 10 2 disp A
Bcc
BCLR
BIAND
8 8 rd 0
BILD
0 0 rd 0
BIOR
0 0 rd 0
BIST
BPL d:8 BPL d:16 BMI d:8 BMI d:16 BGE d:8 BGE d:16 BLT d:8 BLT d:16 BGT d:8 BGT d:16 BLE d:8 BLE d:16 BCLR #xx:3,Rd BCLR #xx:3,@ERd BCLR #xx:3,@aa:8 BCLR #xx:3,@aa:16 BCLR #xx:3,@aa:32 BCLR Rn,Rd BCLR Rn,@ERd BCLR Rn,@aa:8 BCLR Rn,@aa:16 BCLR Rn,@aa:32 BIAND #xx:3,Rd BIAND #xx:3,@ERd BIAND #xx:3,@aa:8 BIAND #xx:3,@aa:16 BIAND #xx:3,@aa:32 BILD #xx:3,Rd BILD #xx:3,@ERd BILD #xx:3,@aa:8 BILD #xx:3,@aa:16 BILD #xx:3,@aa:32 BIOR #xx:3,Rd BIOR #xx:3,@ERd BIOR #xx:3,@aa:8 BIOR #xx:3,@aa:16 BIOR #xx:3,@aa:32 BIST #xx:3,Rd BIST #xx:3,@ERd BIST #xx:3,@aa:8 BIST #xx:3,@aa:16 BIST #xx:3,@aa:32 4 5 4 5 4 5 4 5 4 5 4 5 7 7 7 6 6 6 7 7 6 6 7 7 7 6 6 7 7 7 6 6 7 7 7 6 6 6 7 7 6 6 A 8 B 8 C 8 D 8 E 8 F 8 2 D F A A 2 D F A A 6 C E A A 7 C E A A 4 C E A A 7 D F A A F 0 IMM 0 erd abs 1 3 rn 0 erd abs 1 3 1 IMM 0 erd abs 1 3 1 IMM 0 erd abs 1 3 1 IMM 0 erd abs 1 3 1 IMM 0 erd abs 1 3 0 0 rd 0
935
936
A.2
3
1 3 7 7 5 5 0 0 7 0 7 0 5 1 IMM abs 7 7 7 7 0 0 7 0 7 7 0 IMM 0 abs 7 7 1 1 0 0 7 0 IMM 0 7 1 0 IMM 0 abs 6 6 1 1 rn rn 0 0 6 rn 0 6 1 rn 0 abs 7 7 4 4 0 0 7 abs 7 7 0 0 0 0 7 abs 6 6 0 0 abs abs disp 6 6 7 7 0 0 0 IMM 0 IMM abs 6 abs 7 0 IMM 0 6 7 0 IMM 0 rn rn 0 0 6 0 rn 0 6 0 rn 0 0 0 IMM 0 7 0 0 IMM 0 0 IMM 0 IMM abs 4 0 IMM 0 7 4 0 IMM 0 0 IMM 0 IMM abs 1 abs 1 0 IMM 0 IMM abs 7 0 IMM 0 IMM 0 IMM abs 5 1 IMM 1 IMM 1 IMM abs 4 5 6 7 8 9 10
BIXOR
BLD
BNOT
BOR
BSET
BSR
BST
BIXOR #xx:3,Rd BIXOR #xx:3,@ERd BIXOR #xx:3,@aa:8 BIXOR #xx:3,@aa:16 BIXOR #xx:3,@aa:32 BLD #xx:3,Rd BLD #xx:3,@ERd BLD #xx:3,@aa:8 BLD #xx:3,@aa:16 BLD #xx:3,@aa:32 BNOT #xx:3,Rd BNOT #xx:3,@ERd BNOT #xx:3,@aa:8 BNOT #xx:3,@aa:16 BNOT #xx:3,@aa:32 BNOT Rn,Rd BNOT Rn,@ERd BNOT Rn,@aa:8 BNOT Rn,@aa:16 BNOT Rn,@aa:32 BOR #xx:3,Rd BOR #xx:3,@ERd BOR #xx:3,@aa:8 BOR #xx:3,@aa:16 BOR #xx:3,@aa:32 BSET #xx:3,Rd BSET #xx:3,@ERd BSET #xx:3,@aa:8 BSET #xx:3,@aa:16 BSET #xx:3,@aa:32 BSET Rn,Rd BSET Rn,@ERd BSET Rn,@aa:8 BSET Rn,@aa:16 BSET Rn,@aa:32 BSR d:8 BSR d:16 BST #xx:3,Rd BST #xx:3,@ERd BST #xx:3,@aa:8 BST #xx:3,@aa:16 BST #xx:3,@aa:32 7 7 7 6 6 7 7 7 6 6 7 7 7 6 6 6 7 7 6 6 7 7 7 6 6 7 7 7 6 6 6 7 7 6 6 5 5 6 7 7 6 6 5 C E A A 7 C E A A 1 D F A A 1 D F A A 4 C E A A 0 D F A A 0 D F A A 5 C 7 D F A A
2 1 IMM rd 0 erd 0 abs 1 0 3 0 0 IMM rd 0 erd 0 abs 1 0 3 0 0 IMM rd 0 erd 0 abs 1 8 3 8 rn rd 0 erd 0 abs 1 8 3 8 0 IMM rd 0 erd 0 abs 1 0 3 0 0 IMM rd 0 erd 0 abs 1 8 3 8 rn rd 0 erd 0 abs 1 8 3 8 disp 0 0 0 IMM rd 0 erd 0 abs 1 8 3 8
A.2
4
3 rd 0 7 7 3 3 0 0 7 0 7 0 3 0 IMM abs 6 6 3 3 rn rn 0 0 6 rn 0 6 rn 3 0 abs 7 7 5 5 0 0 7 0 IMM 0 7 5 0 IMM 0 abs 5 0 IMM 0 IMM abs 3 abs 3 0 IMM 0 IMM 0 IMM abs 0 0 rd 0
4
5
6
7
8
9
10
BTST
BXOR
3 C E A A 3 C E A A 5 C E A A 0 0 rd 0 0 0
2 0 IMM 0 erd abs 1 3 rn 0 erd abs 1 3 0 IMM 0 erd abs 1 3
CLRMAC CMP IMM IMM
DAA DAS DEC
DIVXS 5 5 1 3 rs rs
rd 0 erd
DIVXU 5 5 9 9
EEPMOV
8 8
F F
EXTS
EXTU
BTST #xx:3,Rd BTST #xx:3,@ERd BTST #xx:3,@aa:8 BTST #xx:3,@aa:16 BTST #xx:3,@aa:32 BTST Rn,Rd BTST Rn,@ERd BTST Rn,@aa:8 BTST Rn,@aa:16 BTST Rn,@aa:32 BXOR #xx:3,Rd BXOR #xx:3,@ERd BXOR #xx:3,@aa:8 BXOR #xx:3,@aa:16 BXOR #xx:3,@aa:32 CLRMAC CMP.B #xx:8,Rd CMP.B Rs,Rd CMP.W #xx:16,Rd CMP.W Rs,Rd CMP.L #xx:32,ERd CMP.L ERs,ERd DAA Rd DAS Rd DEC.B Rd DEC.W #1,Rd DEC.W #2,Rd DEC.L #1,ERd DEC.L #2,ERd DIVXS.B Rs,Rd DIVXS.W Rs,ERd DIVXU.B Rs,Rd DIVXU.W Rs,ERd EEPMOV.B EEPMOV.W EXTS.W Rd EXTS.L ERd EXTU.W Rd EXTU.L ERd rd C 9 D A F F F A B B B B 1 1 1 3 B B 7 7 7 7 rs 2 rs 2 1 ers 0 0 0 5 D 7 F D D rs rs 5 D D F 5 7 IMM rd rd rd 0 erd 0 erd rd rd rd rd rd 0 erd 0 erd 0 0 rd 0 erd C 4 rd 0 erd rd 0 erd
1 7 7 7 6 6 6 7 7 6 6 7 7 7 6 6 LSI A 1 7 1 7 1 0 1 1 1 1 1 1 0 0 5 5 7 7 1 1 1 1
937
938
A.2
5
1 3 4 5 6 7 8 9 10
INC
JMP abs 0 abs abs IMM 0 7 IMM abs ern 0
2 0 rd 5 rd D rd 7 0 erd F 0 erd 0 ern 0
JSR
LDC
disp disp 6 6 B B 2 2 0 0 disp disp
0 0 0 0 0 0 0 0 abs abs abs abs
LDM*3
0 0 0 0 0 5 5 5 5 5 5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 A B B B B 9 A B D E F 7 1 3 3 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 4 0 1 4 4 4 4 4 4 4 4 4 4 4 4 1 2 3 1 rs rs 0 1 0 1 0 1 0 1 0 1 0 1 0 0 0 6 6 6 6 7 7 6 6 6 6 6 6 6 6 6 9 9 F F 8 8 D D B B B B D D D ers 0 ers 0 ers 0 ers 0 ers 0 ers 0 ers 0 ers 0 0 0 0 0 2 0 2 0 7 0 ern+1 7 0 ern+2 7 0 ern+3 LSI
LDMAC
MAC MOV
disp 6 A 2 rd disp
0 0 0 0
abs abs disp 6 A A rs disp
INC.B Rd INC.W #1,Rd INC.W #2,Rd INC.L #1,ERd INC.L #2,ERd JMP @ERn JMP @aa:24 JMP @@aa:8 JSR @ERn JSR @aa:24 JSR @@aa:8 LDC #xx:8,CCR LDC #xx:8,EXR LDC Rs,CCR LDC Rs,EXR LDC @ERs,CCR LDC @ERs,EXR LDC @(d:16,ERs),CCR LDC @(d:16,ERs),EXR LDC @(d:32,ERs),CCR LDC @(d:32,ERs),EXR LDC @ERs+,CCR LDC @ERs+,EXR LDC @aa:16,CCR LDC @aa:16,EXR LDC @aa:32,CCR LDC @aa:32,EXR LDM.L @SP+, (ERn-ERn+1) LDM.L @SP+, (ERn-ERn+2) LDM.L @SP+, (ERn-ERn+3) LDMAC ERs,MACH LDMAC ERs,MACL MAC @ERn+,@ERm+ MOV.B #xx:8,Rd MOV.B Rs,Rd MOV.B @ERs,Rd MOV.B @(d:16,ERs),Rd MOV.B @(d:32,ERs),Rd MOV.B @ERs+,Rd MOV.B @aa:8,Rd MOV.B @aa:16,Rd MOV.B @aa:32,Rd MOV.B Rs,@ERd MOV.B Rs,@(d:16,ERd) MOV.B Rs,@(d:32,ERd) F 0 6 6 7 6 2 6 6 6 6 7 rd C 8 E 8 C rd A A 8 E 8 1 1 0 IMM rs rd ers rd ers rd ers 0 ers rd abs 0 rd 2 rd erd rs erd rs erd 0
A.2
6
1 3 rs abs abs IMM 4 5 6 7 8 9 10
MOV
disp 6 B 2 rd disp abs abs disp 6 B A rs disp abs abs IMM
0 0 disp 6 B abs 2
0 0 0 0
0 erd
disp
abs disp 6 B abs abs A 0 ers disp
MOV.B Rs,@-ERd MOV.B Rs,@aa:8 MOV.B Rs,@aa :16 MOV.B Rs,@aa:32 MOV.W #xx:16,Rd MOV.W Rs,Rd MOV.W @ERs,Rd MOV.W @(d:16,ERs),Rd MOV.W @(d:32,ERs),Rd MOV.W @ERs+,Rd MOV.W @aa:16,Rd MOV.W @aa:32,Rd MOV.W Rs,@ERd MOV.W Rs,@(d:16,ERd) MOV.W Rs,@(d:32,ERd) MOV.W Rs,@-ERd MOV.W Rs,@aa:16 MOV.W Rs,@aa:32 MOV.L #xx:32,Rd MOV.L ERs,ERd MOV.L @ERs,ERd MOV.L @(d:16,ERs),ERd MOV.L @(d:32,ERs),ERd MOV.L @ERs+,ERd MOV.L @aa:16 ,ERd MOV.L @aa:32 ,ERd MOV.L ERs,@ERd MOV.L ERs,@(d:16,ERd) MOV.L ERs,@(d:32,ERd) *1 6 3 6 6 7 0 6 6 7 6 6 6 6 6 7 6 6 6 7 0 0 0 0 0 0 0 0 0 0 0 0 0 C rs A A 9 D 9 F 8 D B B 9 F 8 D B B A F 1 1 1 1 1 1 1 1 1 1 1 1 rs rs rd rd rd rd 0 rd rd rd rs rs 0 rs rs rs erd erd 0 0 0 0 0 0 0 0 0 0 0 0 6 6 7 6 6 6 6 6 7 6 6 6 9 F 8 D B B 9 F 8 D B B 1 1 0 1 0 0 0 ers ers ers ers 0 2 erd erd erd erd 8 A 0 0 0 0 0 erd erd 0 erd erd erd ers ers 0 ers ers ers LSI 5 5 0 2 rs rs rd 0 erd
2 1 erd abs 8 A 0 rs 0 ers 0 ers 0 ers 0 ers 0 2 1 erd 1 erd 0 erd 1 erd 8 A 0 0 1 ers 0 0 0 0 0 0 0 0 0 0 0 0 0
MOVFPE MOVTPE MULXS
MULXU
NEG
NOP
MOV.L ERs,@-ERd MOV.L ERs,@aa:16 MOV.L ERs,@aa:32 MOVFPE @aa:16,Rd MOVTPE Rs,@aa:16 MULXS.B Rs,Rd MULXS.W Rs,ERd MULXU.B Rs,Rd MULXU.W Rs,ERd NEG.B Rd NEG.W Rd NEG.L ERd NOP 0 0 5 5 1 1 1 0 1 1 0 2 7 7 7 0 C C rs rs 8 9 B 0 0 0 rd 0 erd rd rd 0 erd 0
939
940
A.2
7
1 2 0 1 3 3 4 5 6 7 8 9 10
NOT
OR IMM IMM 6 0 IMM 7 0 ern 0 ern F ers 0 erd 0 6 6 D D 4 4 rs 4 rs 4 F
ORC
POP
PUSH
ROTL
ROTR
ROTXL
ROTXR
RTE RTS
NOT.B Rd NOT.W Rd NOT.L ERd OR.B #xx:8,Rd OR.B Rs,Rd OR.W #xx:16,Rd OR.W Rs,Rd OR.L #xx:32,ERd OR.L ERs,ERd ORC #xx:8,CCR ORC #xx:8,EXR POP.W Rn POP.L ERn PUSH.W Rn PUSH.L ERn ROTL.B Rd ROTL.B #2, Rd ROTL.W Rd ROTL.W #2, Rd ROTL.L ERd ROTL.L #2, ERd ROTR.B Rd ROTR.B #2, Rd ROTR.W Rd ROTR.W #2, Rd ROTR.L ERd ROTR.L #2, ERd ROTXL.B Rd ROTXL.B #2, Rd ROTXL.W Rd ROTXL.W #2, Rd ROTXL.L ERd ROTXL.L #2, ERd ROTXR.B Rd ROTXR.B #2, Rd ROTXR.W Rd ROTXR.W #2, Rd ROTXR.L ERd ROTXR.L #2, ERd RTE RTS 1 1 1 C 1 7 6 7 0 0 0 6 0 6 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 5 5 7 7 7 rd 4 9 4 A 1 4 1 D 1 D 1 2 2 2 2 2 2 3 3 3 3 3 3 2 2 2 2 2 2 3 3 3 3 3 3 6 4 4 7 0 F 0 8 C 9 D B F 8 C 9 D B F 0 4 1 5 3 7 0 4 1 5 3 7 7 7 rd rd 0 erd IMM rd rd rd 0 erd 0 IMM 1 rn 0 rn 0 rd rd rd rd 0 erd 0 erd rd rd rd rd 0 erd 0 erd rd rd rd rd 0 erd 0 erd rd rd rd rd 0 erd 0 erd 0 0
A.2
8
1 2 3 4 5 6 7 8 9 10
SHAL
0 0
SHAR
0 0
SHLL
0 0
SHLR
0 0
SLEEP STC
disp disp 6 6 B B A A 0 0 disp disp
1 1 1 1 0 0 1 1
abs abs abs abs
STM*3
1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0 0 1 1 1 1 1 1 1 2 2 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 8 C 9 D B F 8 C 9 D B F 0 4 1 5 3 7 0 4 1 5 3 7 8 0 1 4 4 4 4 4 4 4 4 4 4 4 4 1 2 3 rd rd rd rd erd erd rd rd rd rd erd erd rd rd rd rd erd erd rd rd rd rd erd erd 0 rd rd 0 1 0 1 0 1 0 1 0 1 0 1 0 0 0 6 6 6 6 7 7 6 6 6 6 6 6 6 6 6 9 9 F F 8 8 D D B B B B D D D erd 0 erd 0 erd 0 erd 0 erd 0 erd 0 erd 0 erd 0 8 0 8 0 A 0 A 0 F 0 ern F 0 ern F 0 ern LSI
STMAC
SHAL.B Rd SHAL.B #2, Rd SHAL.W Rd SHAL.W #2, Rd SHAL.L ERd SHAL.L #2, ERd SHAR.B Rd SHAR.B #2, Rd SHAR.W Rd SHAR.W #2, Rd SHAR.L ERd SHAR.L #2, ERd SHLL.B Rd SHLL.B #2, Rd SHLL.W Rd SHLL.W #2, Rd SHLL.L ERd SHLL.L #2, ERd SHLR.B Rd SHLR.B #2, Rd SHLR.W Rd SHLR.W #2, Rd SHLR.L ERd SHLR.L #2, ERd SLEEP STC.B CCR,Rd STC.B EXR,Rd STC.W CCR,@ERd STC.W EXR,@ERd STC.W CCR,@(d:16,ERd) STC.W EXR,@(d:16,ERd) STC.W CCR,@(d:32,ERd) STC.W EXR,@(d:32,ERd) STC.W CCR,@-ERd STC.W EXR,@-ERd STC.W CCR,@aa:16 STC.W EXR,@aa:16 STC.W CCR,@aa:32 STC.W EXR,@aa:32 STM.L(ERn-ERn+1) , @-SP STM.L (ERn-ERn+2) , @-SP STM.L (ERn-ERn+3) , @-SP STMAC MACH,ERd STMAC MACL,ERd
941
942
A.2
9
1 3 IMM IMM 4 5 6 7 8 9 10
SUB
SUBS
SUBX 7 B 0 erd C
TAS TRAPA XOR IMM
6 5 5 IMM 0
IMM 0 ers 0 erd
XORC
SUB.B Rs,Rd SUB.W #xx:16,Rd SUB.W Rs,Rd SUB.L #xx:32,ERd SUB.L ERs,ERd SUBS #1,ERd SUBS #2,ERd SUBS #4,ERd SUBX #xx:8,Rd SUBX Rs,Rd TAS @ERd*2 TRAPA #x:2 XOR.B #xx:8,Rd XOR.B Rs,Rd XOR.W #xx:16,Rd XOR.W Rs,Rd XOR.L #xx:32,ERd XOR.L ERs,ERd XORC #xx:8,CCR XORC #xx:8,EXR 1 7 1 7 1 1 1 1 B 1 0 5 D 1 7 6 7 0 0 0 8 9 9 A A B B B rd E 1 7 rd 5 9 5 A 1 5 1
2 rd rs rd 3 rd rs 0 erd 3 1 ers 0 erd 0 erd 0 0 erd 8 0 erd 9 IMM rd rs 0 E 0 00 IMM IMM rs rd 5 rd rs rd 5 0 erd F 0 IMM 4 1
*1 ER0 ER0 ER6 ER1 ER4 ER5
MOV. L ERs, @ (d : 32, ERd)
4
7
1
0
*2
TAS
*3
STM/LDM
IMM abs disp rs rd rn 4 8 16
2 24 8
3 32 16
8
16
32
32 8 rs rd rn 16
Rs ers erd ern erm
Rd
Rn 3 er s ERs ERd ERn er d er n er m 32
ERm
16 32
8
000 001
ER0 ER1
0000 0001
R0 R1
0000 0001
R0H R1H
111
ER7
0111 1000 1001
R7 E0 E1
0111 1000 1001
R7H R0L R1L
1111
E7
1111
R7L
943
944
BH BL 3 4 ORC OR MOV.B XOR AND SUB SUBX CMP XORC ANDC LDC ADD ADDX MOV 5 6 7 9 A 8 B C D E F
A.3
AH
AL
AL
A.3
AH
0
1
2
0
NOP
STC LDC * * STMAC LDMAC
1
2
3 BLS BCC BVS JMP MOV MOV EEPMOV BSR MOV RTS BST BSR RTE TRAPA BCS BNE BEQ BVC BPL BMI BGE DIVXU BTST BLT BGT JSR BLE
4
BRA
BRN
BHI
5
MULXU
DIVXU
MULXU
6 XOR AND OR BIST BOR BLD BXOR BAND BIXOR BIAND BILD BIOR ADD ADDX CMP SUBX OR XOR AND MOV
BSET
BNOT
BCLR
7
8
9
A
B
C
D
E
F
*
AH
AL
BH
BL
BH 0 5 MAC* SLEEP ADD INC MOV SHLL SHLL SHLR ROTXL ROTXR EXTU EXTU NEG ROTR NEG SUB DEC DEC SUBS CMP BRN BCS BNE MOV ADD OR OR XOR XOR ADD CMP SUB CMP SUB AND AND * MOVFPE BHI BLS BCC BEQ BVC MOV BVS BPL MOV BMI BGE * MOVTPE BLT DEC ROTL SHAR SHAL SHLR ROTXL ROTXR NOT NOT ROTXR ROTXL SHLR SHLL SHAL SHAR ROTL ROTR EXTS INC ADDS INC * CLRMAC 6 7 B D 8 C LDM STM STC LDC 1 9 A 2 3 4
AH AL
E TAS
F
01
MOV
0A
INC
0B
ADDS
INC
0F
DAA
10
SHAL SHAR ROTL ROTR EXTS
11
12
13
17
1A
DEC
1B
SUBS
DEC
1F
DAS
58
BRA
BGT
BLE
6A
MOV
79
MOV
7A
MOV
*
945
946
AH AL BH BL CH CL DH DL 0 MULXS DIVXS OR BTST BTST BSET BSET BTST BTST BSET BSET BNOT BCLR BNOT BCLR BNOT BCLR BNOT BCLR XOR AND DIVXS MULXS 1 2 3 4 5 6 7 8 9 A B C D E F BOR BXOR BAND BLD BIOR BIXOR BIAND BILD BST BIST BOR BXOR BAND BLD BIOR BIXOR BIAND BILD BST BIST
CL
AH AL BH BL CH
01C05
01D05
01F06
7Cr06
*1
7Cr07
*1
7Dr06 *1
7Dr07
*1
7Eaa6
*2
7Eaa7
*2
7Faa6 *2
7Faa7
*2
*1 *2
AH
AL
BH
BL
CH
CL
DH
DL
EH
EL
FH
FL
EL 0 4 5 6 7 8 9 A B C BTST BOR BXOR BAND BLD BIOR BIXOR BIAND BILD BST BIST 1 2 3
AHALBHBLCHCLDHDLEH
D
E
F
6A10aaaa6*
6A10aaaa7*
6A18aaaa6* BSET BNOT BCLR
6A18aaaa7*
AH
AL
BH
BL
CH
CL
DH
DL
EH
EL
FH
FL
GH
GL
HH
HL
GL 0 4 5 6 BTST 1 2 3
AHALBHBL ... FHFLGH
7
8
9
A
B
C
D
E
F
6A30aaaaaaaa6*
6A30aaaaaaaa7*
6A38aaaaaaaa6* BSET BNOT BCLR
BOR BXOR BAND BLD BIOR BIXOR BIAND BILD BST BIST
6A38aaaaaaaa7*
*
947
A.4
H8S/2000 CPU A .5 A.4
I SI
J S J+K S K L S L
M SM N SN
8 3 1
2
16
1.
BSET #0, @FFFFC7:8 A.5 IL2 A.4 SI 4 SL 2 2 4 2 2 12 J KM N0
2.
JSR @@30 A.5 IJ K2 LM N0
A.4 SI SJ SK 4 2 4 2 4 2 4 24
948
A.4
8 8 16
2 3 2
16
3
1 SI
4
2
4
6+2m
2
3+m
SJ
SK 2 SL 4 SM 1 SN 4 6+2m 2 3+m
m
949
A.5
I ADD ADD.B #xx:8,Rd ADD.B Rs,Rd ADD.W #xx:16,Rd ADD.W Rs,Rd ADD.L #xx:32,ERd ADD.L ERs,ERd ADDS ADDX ADDS #1/2/4,ERd ADDX #xx:8,Rd ADDX Rs,Rd AND AND.B #xx:8,Rd AND.B Rs,Rd AND.W #xx:16,Rd AND.W Rs,Rd AND.L #xx:32,ERd AND.L ERs,ERd ANDC ANDC #xx:8,CCR ANDC #xx:8,EXR BAND BAND #xx:3,Rd BAND #xx:3,@ERd BAND #xx:3,@aa:8 BAND #xx:3,@aa:16 BAND #xx:3,@aa:32 Bcc BRA d:8 BRN d:8 BHI d:8 BLS d:8 BCC d:8 BCS d:8 BNE d:8 BEQ d:8 BVC d:8 BVS d:8 BPL d:8 BMI d:8 BGE d:8 BLT d:8 BGT d:8 BLE d:8 BRA d:16 BRN d:16 BHI d:16 BLS d:16 BCC d:16 BCS d:16 BNE d:16 BEQ d:16 BVC d:16 BVS d:16 BPL d:16 BMI d:16 BGE d:16 BLT d:16 (BHS d:16) (BLO d:16) (BT d:16) (BF d:16) (BHS d:8) (BLO d:8) (BT d:8) (BF d:8) 1 1 2 1 3 1 1 1 1 1 1 2 1 3 2 1 2 1 2 2 3 4 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2
J
K
L
M
N
1 1 1 1
1 1 1 1 1 1 1 1 1 1 1 1 1 1
950
I Bcc BGT d:16 BLE d:16 BCLR BCLR #xx:3,Rd BCLR #xx:3,@ERd BCLR #xx:3,@aa:8 BCLR #xx:3,@aa:16 BCLR #xx:3,@aa:32 BCLR Rn,Rd BCLR Rn,@ERd BCLR Rn,@aa:8 BCLR Rn,@aa:16 BCLR Rn,@aa:32 BIAND BIAND #xx:3,Rd BIAND #xx:3,@ERd BIAND #xx:3,@aa:8 BIAND #xx:3,@aa:16 BIAND #xx:3,@aa:32 BILD BILD #xx:3,Rd BILD #xx:3,@ERd BILD #xx:3,@aa:8 BILD #xx:3,@aa:16 BILD #xx:3,@aa:32 BIOR BIOR #xx:8,Rd BIOR #xx:8,@ERd BIOR #xx:8,@aa:8 BIOR #xx:8,@aa:16 BIOR #xx:8,@aa:32 BIST BIST #xx:3,Rd BIST #xx:3,@ERd BIST #xx:3,@aa:8 BIST #xx:3,@aa:16 BIST #xx:3,@aa:32 BIXOR BIXOR #xx:3,Rd BIXOR #xx:3,@ERd BIXOR #xx:3,@aa:8 BIXOR #xx:3,@aa:16 BIXOR #xx:3,@aa:32 BLD BLD #xx:3,Rd BLD #xx:3,@ERd BLD #xx:3,@aa:8 BLD #xx:3,@aa:16 BLD #xx:3,@aa:32 BNOT BNOT #xx:3,Rd BNOT #xx:3,@ERd BNOT #xx:3,@aa:8 BNOT #xx:3,@aa:16 BNOT #xx:3,@aa:32 BNOT Rn,Rd BNOT Rn,@ERd BNOT Rn,@aa:8 BNOT Rn,@aa:16 2 2 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4 1 2 2 3
J
K
L
M
N 1 1
2 2 2 2
2 2 2 2
1 1 1 1
1 1 1 1
1 1 1 1
2 2 2 2
1 1 1 1
1 1 1 1
2 2 2 2
2 2 2
951
I BNOT BOR BNOT Rn,@aa:32 BOR #xx:3,Rd BOR #xx:3,@ERd BOR #xx:3,@aa:8 BOR #xx:3,@aa:16 BOR #xx:3,@aa:32 BSET BSET #xx:3,Rd BSET #xx:3,@ERd BSET #xx:3,@aa:8 BSET #xx:3,@aa:16 BSET #xx:3,@aa:32 BSET Rn,Rd BSET Rn,@ERd BSET Rn,@aa:8 BSET Rn,@aa:16 BSET Rn,@aa:32 BSR BSR d:8 4 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4 2 2 BSR d:16 2 2 BST BST #xx:3,Rd BST #xx:3,@ERd BST #xx:3,@aa:8 BST #xx:3,@aa:16 BST #xx:3,@aa:32 BTST BTST #xx:3,Rd BTST #xx:3,@ERd BTST #xx:3,@aa:8 BTST #xx:3,@aa:16 BTST #xx:3,@aa:32 BTST Rn,Rd BTST Rn,@ERd BTST Rn,@aa:8 BTST Rn,@aa:16 BTST Rn,@aa:32 BXOR BXOR #xx:3,Rd BXOR #xx:3,@ERd BXOR #xx:3,@aa:8 BXOR #xx:3,@aa:16 BXOR #xx:3,@aa:32 CLRMAC CLRMAC CMP CMP.B #xx:8,Rd CMP.B Rs,Rd CMP.W #xx:16,Rd CMP.W Rs,Rd CMP.L #xx:32,ERd CMP.L ERs,ERd DAA DAS DAA Rd DAS Rd LSI 1 1 2 1 3 1 1 1 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4 1 2 2 3 4
J
K
L 2
M
N
1 1 1 1
2 2 2 2
2 2 2 2 1 2 1 2 1 1
2 2 2 2
1 1 1 1
1 1 1 1
1 1 1 1
952
I DEC DEC.B Rd DEC.W #1/2,Rd DEC.L #1/2,ERd DIVXS DIVXS.B Rs,Rd DIVXS.W Rs,ERd DIVXU DIVXU.B Rs,Rd DIVXU.W Rs,ERd EEPMOV EEPMOV.B EEPMOV.W EXTS EXTS.W Rd EXTS.L ERd EXTU EXTU.W Rd EXTU.L ERd INC INC.B Rd INC.W #1/2,Rd INC.L #1/2,ERd JMP JMP @ERn JMP @aa:24 JMP @@aa:8 1 1 1 2 2 1 1 2 2 1 1 1 1 1 1 1 2 2 2 2 JSR JSR @ERn 2 2 JSR @aa:24 2 2 JSR @@aa:8 2 2 LDC LDC #xx:8,CCR LDC #xx:8,EXR LDC Rs,CCR LDC Rs,EXR LDC @ERs,CCR LDC @ERs,EXR LDC @(d:16,ERs),CCR LDC @(d:16,ERs),EXR LDC @(d:32,ERs),CCR LDC @(d:32,ERs),EXR LDC @ERs+,CCR LDC @ERs+,EXR LDC @aa:16,CCR LDC @aa:16,EXR LDC @aa:32,CCR LDC @aa:32,EXR LDM*4 LD . L @SP+ , (ERn-ERn+1) M LD . L @SP+ , (ERn-ERn+2) M LD . L @SP+ , (ERn-ERn+3) M LDMAC LDMAC ERs, MACH LDMAC ERs, MACL MAC MAC @ERn+, @ERm+ LSI 1 2 1 1 2 2 3 3 5 5 2 2 3 3 4 4 2 2 2
J
K
L
M
N
11 19 11 19 2n+2 *2 2n+2 *2
1 1 2 1 2 1 2 1 2 1 2 1 1 1 1
1 1 1 1 1 1 1 1 1 1 1 1 4 6 8 1 1 1 1 1
953
I MOV MOV.B #xx:8,Rd MOV.B Rs,Rd MOV.B @ERs,Rd MOV.B @(d:16,ERs),Rd MOV.B @(d:32,ERs),Rd MOV.B @ERs+,Rd MOV.B @aa:8,Rd MOV.B @aa:16,Rd MOV.B @aa:32,Rd MOV.B Rs,@ERd MOV.B Rs,@(d:16,ERd) MOV.B Rs,@(d:32,ERd) MOV.B Rs,@-ERd MOV.B Rs,@aa:8 MOV.B Rs,@aa:16 MOV.B Rs,@aa:32 MOV.W #xx:16,Rd MOV.W Rs,Rd MOV.W @ERs,Rd MOV.W @(d:16,ERs),Rd MOV.W @(d:32,ERs),Rd MOV.W @ERs+,Rd MOV.W @aa:16,Rd MOV.W @aa:32,Rd MOV.W Rs,@ERd MOV.W Rs,@(d:16,ERd) MOV.W Rs,@(d:32,ERd) MOV.W Rs,@-ERd MOV.W Rs,@aa:16 MOV.W Rs,@aa:32 MOV.L #xx:32,ERd MOV.L ERs,ERd MOV.L @ERs,ERd MOV.L @(d:16,ERs),ERd MOV.L @(d:32,ERs),ERd MOV.L @ERs+,ERd MOV.L @aa:16,ERd MOV.L @aa:32,ERd MOV.L ERs,@ERd MOV.L ERs,@(d:16,ERd) MOV.L ERs,@(d:32,ERd) MOV.L ERs,@-ERd MOV.L ERs,@aa:16 MOV.L ERs,@aa:32 MOVFPE MOVFPE @:aa:16,Rd MOVTPE MOVTPE Rs,@:aa:16 MULXS MULXS.B Rs,Rd MULXS.W Rs,ERd MULXU MULXU.B Rs,Rd MULXU.W Rs,ERd 2 2 1 1 LSI 1 1 1 2 4 1 1 2 3 1 2 4 1 1 2 3 2 1 1 2 4 1 2 3 1 2 4 1 2 3 3 1 2 3 5 2 3 4 2 3 5 2 3 4
J
K
L
M
N
1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
1 1 1 1 1 1 1 1 1 1 1 1 1 1
2 2 2 2 2 2 2 2 2 2 2 2 1 1
11 19 11 19
954
I NEG NEG.B Rd NEG.W Rd NEG.L ERd NOP NOT NOP NOT.B Rd NOT.W Rd NOT.L ERd OR O R .B #xx:8,Rd O R .B Rs,Rd O R .W #xx:16,Rd OR.W Rs,Rd OR.L #xx:32,ERd OR.L ERs,ERd ORC ORC #xx:8,CCR ORC #xx:8,EXR POP POP.W Rn POP.L ERn PUSH PUSH.W Rn PUSH.L ERn ROTL ROTL.B Rd ROTL.B #2,Rd ROTL.W Rd ROTL.W #2,Rd ROTL.L ERd ROTL.L #2,ERd ROTR ROTR.B Rd ROTR.B #2,Rd ROTR.W Rd ROTR.W #2,Rd ROTR.L ERd ROTR.L #2,ERd ROTXL ROTXL.B Rd ROTXL.B #2,Rd ROTXL.W Rd ROTXL.W #2,Rd ROTXL.L ERd ROTXL.L #2,ERd ROTXR ROTXR.B Rd ROTXR.B #2,Rd ROTXR.W Rd ROTXR.W #2,Rd ROTXR.L ERd ROTXR.L #2,ERd RTE RTS RTE RTS 1 1 1 1 1 1 1 1 1 2 1 3 2 1 2 1 2 1 2 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 2 2 2
J
K
L
M
N
1 2 1 2
1 1 1 1
2 / 3 *1 1 2
1 1 1
955
I SHAL SHAL.B Rd SHAL.B #2,Rd SHAL.W Rd SHAL.W #2,Rd SHAL.L ERd SHAL.L #2,ERd SHAR SHAR.B Rd SHAR.B #2,Rd SHAR.W Rd SHAR.W #2,Rd SHAR.L ERd SHAR.L #2,ERd SHLL SHLL.B Rd SHLL.B #2,Rd SHLL.W Rd SHLL.W #2,Rd SHLL.L ERd SHLL.L #2,ERd SHLR SHLR.B Rd SHLR.B #2,Rd SHLR.W Rd SHLR.W #2,Rd SHLR.L ERd SHLR.L #2,ERd SLEEP STC SLEEP STC.B CCR,Rd STC.B EXR,Rd STC.W CCR,@ERd STC.W EXR,@ERd STC.W CCR,@(d:16,ERd) STC.W EXR,@(d:16,ERd) STC.W CCR,@(d:32,ERd) STC.W EXR,@(d:32,ERd) STC.W CCR,@-ERd STC.W EXR,@-ERd STC.W CCR,@aa:16 STC.W EXR,@aa:16 STC.W CCR,@aa:32 STC.W EXR,@aa:32 STM*
4
J
K
L
M
N
1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 2 2 3 3 5 5 2 2 3 3 4 4 2 2 2 1 2 1 3 1 1 1 1 4 6 8 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
STM.L (ERn-ERn+1),@-SP STM.L (ERn-ERn+2),@-SP STM.L (ERn-ERn+3),@-SP
SUB
SUB.B Rs,Rd SUB.W #xx:16,Rd SUB.W Rs,Rd SUB.L #xx:32,ERd SUB.L ERs,ERd
SUBS SUBX
SUBS #1/2/4,ERd SUBX #xx:8,Rd SUBX Rs,Rd
956
I TAS TRAPA TAS @ERd*3 TRAPA #x:2 2 2 2 XO R XO R.B #xx:8,Rd XO R.B Rs,Rd XOR.W #xx:16,Rd XOR.W Rs,Rd XOR.L #xx:32,ERd XOR.L ERs,ERd XORC XORC #xx:8,CCR XORC #xx:8,EXR 1 1 2 1 3 2 1 2
J
K
L 2
M
N
1 2
2 / 3 *1 2/3*
1
2 2
*1 EXR *2 *3 TAS *4 STM/LDM n
2
3
ER0
ER1 ER0
ER4 ER6
ER5
957
A.5
C PU A.4 A .6
1
JMP aa:24 R:W 2nd
2
2
3
R:W EA
4
5
6
7
8
2
RB RW WB WW M 2nd 3rd 4th 5th NEXT EA VEC 2 3 4 5 3 5 7 9 4 6 8 10
958
8 RD
3 HWR LWR A.1
RD
HWR
LWR
A.1 8
RD HWR LWR 3
959
A.6
1 ADD.B #xx:8,Rd ADD.B Rs,Rd ADD.W #xx:16,Rd ADD.W Rs,Rd ADD.L #xx:32,ERd ADD.L ERs,ERd ADDS #1/2/4,ERd ADDX #xx:8,Rd ADDX Rs,Rd AND.B #xx:8,Rd AND.B Rs,Rd AND.W #xx:16,Rd AND.W Rs,Rd AND.L #xx:32,ERd AND.L ERs,ERd ANDC #xx:8,CCR ANDC #xx:8,EXR BAND #xx:3,Rd BAND #xx:3,@ERd BAND #xx:3,@aa:8 BAND #xx:3,@aa:16 BAND #xx:3,@aa:32 BRA d:8 BRN d:8 BHI d:8 BLS d:8 BCC d:8 BCS d:8 BNE d:8 BEQ d:8 BVC d:8 BVS d:8 BPL d:8 BMI d:8 BGE d:8 BLT d:8 BGT d:8 BLE d:8 BRA d:16 BRN d:16 BHI d:16 BLS d:16 BCC d:16 BCS d:16 BNE d:16 BEQ d:16 BVC d:16 BVS d:16 BPL d:16 BMI d:16 BGE d:16 R:W NEXT R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:B EA R:B EA R:W 3rd R:W 3rd R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA 1 1 1 1 1 1 1 1 1 1 1 1 1 R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W EA R:W:M NEXT R:W:M NEXT R:B EA R:W 4th R:W NEXT R:W 3rd R:W NEXT R:W NEXT R:W: NEXT R:W 3rd R:W NEXT R:W NEXT 2 3
1
4 5 6 7 8 9
R:W:M NEXT R:B EA R:W:M NEXT
(BT d:8) R:W NEXT (BF d:8) R:W NEXT R:W NEXT R:W NEXT (BHS d:8) R:W NEXT (BLO d:8) R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT (BT d:16) R:W 2nd (BF d:16) R:W 2nd R:W 2nd R:W 2nd (BHS d:16) R:W 2nd (BLO d:16) R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd
960
A.6
1 BLT d:16 BGT d:16 BLE d:16 BCLR #xx:3,Rd BCLR #xx:3,@ERd BCLR #xx:3,@aa:8 BCLR #xx:3,@aa:16 BCLR #xx:3,@aa:32 BCLR Rn,Rd BCLR Rn,@ERd BCLR Rn,@aa:8 BCLR Rn,@aa:16 BCLR Rn,@aa:32 BIAND #xx:3,Rd BIAND #xx:3,@ERd BIAND #xx:3,@aa:8 BIAND #xx:3,@aa:16 BIAND #xx:3,@aa:32 BILD #xx:3,Rd BILD #xx:3,@ERd BILD #xx:3,@aa:8 BILD #xx:3,@aa:16 BILD #xx:3,@aa:32 BIOR #xx:3,Rd BIOR #xx:3,@ERd BIOR #xx:3,@aa:8 BIOR #xx:3,@aa:16 BIOR #xx:3,@aa:32 BIST #xx:3,Rd BIST #xx:3,@ERd BIST #xx:3,@aa:8 BIST #xx:3,@aa:16 BIST #xx:3,@aa:32 BIXOR #xx:3,Rd BIXOR #xx:3,@ERd BIXOR #xx:3,@aa:8 BIXOR #xx:3,@aa:16 BIXOR #xx:3,@aa:32 BLD #xx:3,Rd BLD #xx:3,@ERd BLD #xx:3,@aa:8 BLD #xx:3,@aa:16 BLD #xx:3,@aa:32 BNOT #xx:3,Rd BNOT #xx:3,@ERd BNOT #xx:3,@aa:8 BNOT #xx:3,@aa:16 BNOT #xx:3,@aa:32 BNOT Rn,Rd BNOT Rn,@ERd BNOT Rn,@aa:8 R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:B:M EA R:B:M EA R:W:M NEXT R:W:M NEXT R:B:M EA R:B:M EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B:M EA R:W 4th R:B EA R:B EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B EA R:W 4th R:B EA R:B EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B EA R:W 4th R:B:M EA R:B:M EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B:M EA R:W 4th R:B EA R:B EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B EA R:W 4th R:B EA R:B EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B: EA R:W 4th R:B EA R:B EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B EA R:W 4th R:B:M EA R:B:M EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B:M EA R:W 4th R:B:M EA R:B:M EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B:M EA R:W 4th 2 1 1 1 3 R:W EA R:W EA R:W EA
2
4 5 6 7 8 9
W:B EA W:B EA R:W:M NEXT R:B:M EA W:B EA R:W:M NEXT W:B EA
W:B EA W:B EA R:W:M NEXT R:B:M EA W:B EA R:W:M NEXT W:B EA
R:W:M NEXT R:B EA R:W:M NEXT
R:W:M NEXT R:B EA R:W:M NEXT
R:W:M NEXT R:B EA R:W:M NEXT
W:B EA W:B EA R:W:M NEXT R:B:M EA W:B EA R:W:M NEXT W:B EA
R:W:M NEXT R:B EA R:W:M NEXT
R:W:M NEXT R:B EA R:W:M NEXT
W:B EA W:B EA R:W:M NEXT R:B:M EA W:B EA R:W:M NEXT W:B EA
W:B EA W:B EA
961
A.6
1 BNOT Rn,@aa:16 BNOT Rn,@aa:32 BOR #xx:3,Rd BOR #xx:3,@ERd BOR #xx:3,@aa:8 BOR #xx:3,@aa:16 BOR #xx:3,@aa:32 BSET #xx:3,Rd BSET #xx:3,@ERd BSET #xx:3,@aa:8 BSET #xx:3,@aa:16 BSET #xx:3,@aa:32 BSET Rn,Rd BSET Rn,@ERd BSET Rn,@aa:8 BSET Rn,@aa:16 BSET Rn,@aa:32 BSR d:8 BSR d:16 BST #xx:3,Rd BST #xx:3,@ERd BST #xx:3,@aa:8 BST #xx:3,@aa:16 BST #xx:3,@aa:32 BTST #xx:3,Rd BTST #xx:3,@ERd BTST #xx:3,@aa:8 BTST #xx:3,@aa:16 BTST #xx:3,@aa:32 BTST Rn,Rd BTST Rn,@ERd BTST Rn,@aa:8 BTST Rn,@aa:16 BTST Rn,@aa:32 BXOR #xx:3,Rd BXOR #xx:3,@ERd BXOR #xx:3,@aa:8 BXOR #xx:3,@aa:16 BXOR #xx:3,@aa:32 CLRMAC CMP.B #xx:8,Rd CMP.B Rs,Rd CMP.W #xx:16,Rd CMP.W Rs,Rd CMP.L #xx:32,ERd CMP.L ERs,ERd DAA Rd DAS Rd DEC.B Rd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W 2nd LSI R:W NEXT R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W 3rd R:W NEXT R:W NEXT R:B EA R:B EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B EA R:W 4th R:B EA R:B EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B EA R:W 4th R:B EA R:B EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B EA R:W 4th R:B:M EA R:B:M EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B:M EA R:W 4th R:B:M EA R:B:M EA R:W 3rd R:W 3rd R:W EA 1 R:W:M NEXT R:W:M NEXT R:B:M EA R:W 4th W:W:M R:W EA R:B:M EA R:B:M EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B:M EA R:W 4th R:B EA R:B EA R:W 3rd R:W 3rd R:W:M NEXT R:W:M NEXT R:B EA R:W 4th 2 R:W 3rd R:W 3rd 3 R:B:M EA R:W 4th
3
4 R:W:M NEXT R:B:M EA 5 W:B EA R:W:M NEXT W:B EA 6 7 8 9
R:W:M NEXT R:B EA R:W NEXT
W:B EA W:B EA R:W:M NEXT R:B:M EA W:B EA R:W:M NEXT W:B EA
W:B EA W:B EA R:W:M NEXT R:B:M EA (H) W:W W:W:M (L) (H) W:W (L) W:B EA R:W:M NEXT W:B EA
W:B EA W:B EA R:W:M NEXT R:B:M EA W:B EA R:W:M NEXT W:B EA
R:W:M NEXT R:B EA R:W:M NEXT
R:W:M NEXT R:B EA R:W:M NEXT
R:W:M NEXT R:B EA R:W:M NEXT
962
A.6
1 DEC.W #1/2,Rd DEC.L #1/2,ERd DIVXS.B Rs,Rd DIVXS.W Rs,ERd DIVXU.B Rs,Rd DIVXU.W Rs,ERd EEPMOV.B EEPMOV.W EXTS.W Rd EXTS.L ERd EXTU.W Rd EXTU.L ERd INC.B Rd INC.W #1/2,Rd INC.L #1/2,ERd JMP @ERn JMP @aa:24 JMP @@aa:8 JSR @ERn JSR @aa:24 JSR @@aa:8 LDC #xx:8,CCR LDC #xx:8,EXR LDC Rs,CCR LDC Rs,EXR LDC @ERs,CCR LDC @ERs,EXR R:W NEXT R:W 2nd R:W NEXT R:W NEXT R:W 2nd R:W 2nd R:W NEXT R:W NEXT R:W 3rd R:W 3rd R:W 3rd R:W 3rd R:W NEXT R:W NEXT R:W 3rd R:W 3rd R:W 3rd R:W 3rd R:W:M NEXT 1 R:W 2nd R:W:M NEXT 1 R:W 2nd R:W:M NEXT 1 LSI R:W EA R:W EA R:W NEXT R:W NEXT R:W 4th R:W 4th 1 1 R:W NEXT R:W NEXT R:W 4th R:W 4th R:W NEXT R:W NEXT R:W:M aa:8 R:W aa:8 R:W 2nd 1 R:W EA R:W NEXT R:W EA W:W:M R:W NEXT R:W NEXT R:W 2nd R:W 2nd R:W NEXT R:W NEXT R:W 2nd R:W 2nd R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W 2nd R:W NEXT R:W EA 1 R:W:M aa:8 R:W EA R:W aa:8 R:W NEXT R:W NEXT 11 19 R:B EAs *1 R:B EAs *
1
4
2 3 4 5 6 7 8 9
11 19
R:B EAd *1 R:B EAd *
1
R:B EAs *2 R:B EAs * n
2
W:B EAd *2 W:B EAd *2 *2
R:W NEXT R:W NEXT
1
R:W EA
(H) W:W
(L)
W:W:M
(H) W:W
(L)
W:W:M
(H) W:W
(L) R:W EA
LDC@(d:16,ERs),CCR R:W 2nd LDC@(d:16,ERs),EXR R:W 2nd LDC@(d:32,ERs),CCR R:W 2nd LDC@(d:32,ERs),EXR R:W 2nd LDC @ERs+,CCR LDC @ERs+,EXR LDC @aa:16,CCR LDC @aa:16,EXR LDC @aa:32,CCR LDC @aa:32,EXR LDM.L @SP+, (ERn-ERn+1) *9 LDM.L @SP+, (ERn-ERn+2) *9 LDM.L @SP+, (ERn-ERn+3) *9 LDMAC ERs,MACH LDMAC ERs,MACL MAC @ERn+,@ERm+ R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd
R:W EA R:W EA R:W 5th R:W 5th R:W EA R:W EA R:W EA R:W EA R:W NEXT R:W NEXT R:W:M (H) *3 R:W:M (H) *3 R:W:M (H) *3 R:W (L) *3 R:W (L) *3 R:W EA R:W EA R:W (L) *3 R:W NEXT R:W NEXT R:W EA R:W EA
963
A.6
1 MOV.B #xx:8,Rd MOV.B Rs,Rd MOV.B @ERs,Rd MOV.B @(d:16,ERs), Rd MOV.B @(d:32,ERs), Rd MOV.B @ERs+,Rd MOV.B @aa:8,Rd MOV.B @aa:16,Rd MOV.B @aa:32,Rd MOV.B Rs,@ERd MOV.B Rs, @(d:16,ERd) MOV.B Rs, @(d:32,ERd) MOV.B Rs,@-ERd MOV.B Rs,@aa:8 MOV.B Rs,@aa:16 MOV.B Rs,@aa:32 MOV.W #xx:16,Rd MOV.W Rs,Rd MOV.W @ERs,Rd R:W NEXT R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W NEXT R:W EA R:W NEXT R:W EA W:B EA R:W NEXT R:W 3rd R:W NEXT W:B EA R:W NEXT 1 W:B EA R:W 2nd R:W 3rd R:W 4th R:W NEXT R:W NEXT R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:B EA R:W NEXT R:W 3rd W:B EA R:W NEXT W:B EA R:B EA R:W NEXT 1 R:B EA R:W 2nd R:W 3rd R:W 4th R:W NEXT R:W NEXT R:W NEXT R:W 2nd R:B EA R:W NEXT R:B EA 2 3
5
4 5 6 7 8 9
R:W NEXT
R:B EA
R:B EA
R:W NEXT
W:B EA
W:B EA
MOV.W @(d:16,ERs), R:W 2nd Rd MOV.W @(d:32,ERs), R:W 2nd Rd MOV.W @ERs+,Rd MOV.W @aa:16,Rd MOV.W @aa:32,Rd MOV.W Rs,@ERd MOV.W Rs, @(d:16,ERd) MOV.W Rs, @(d:32,ERd) MOV.W Rs,@-ERd MOV.W Rs,@aa:16 MOV.W Rs,@aa:32 MOV.L #xx:32,ERd MOV.L ERs,ERd MOV.L @ERs,ERd MOV.L @(d:16,ERs), ERd MOV.L @(d:32,ERs), ERd MOV.L @ERs+,ERd MOV.L @aa:16,ERd MOV.L @aa:32,ERd MOV.L ERs,@ERd MOV.L ERs, @(d:16,ERd) MOV.L ERs, @(d:32,ERd) MOV.L ERs,@-ERd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W NEXT R:W 2nd
R:W 3rd
R:W 4th
R:W NEXT
R:W EA
1 R:W NEXT R:W 3rd W:W EA R:W NEXT
R:W EA R:W EA R:W NEXT R:B EA
W:W EA
R:W 3rd
R:W 4th
R:W NEXT
W:W EA
1 R:W NEXT R:W 3rd R:W 3rd
W:W EA W:W EA R:W NEXT R:W NEXT W:W EA
R:W:M NEXT R:W:M 3rd
R:W:M EA R:W NEXT
R:W EA+2 R:W:M EA R:W EA+2
R:W:M 3rd
R:W:M 4th
R:W 5th
R:W NEXT
R:W:M EA
R:W EA+2
R:W:M NEXT R:W:M 3rd R:W:M 3rd R:W:M NEXT R:W:M 3rd
1 R:W NEXT R:W 4th W:W:M EA R:W NEXT
R:W:M EA R:W:M EA R:W NEXT W:W EA+2 W:W:M EA
R:W EA+2 R:W EA+2 R:W:M EA R:W EA+2
W:W EA+2
R:W:M 3rd
R:W:M 4th
R:W 5th
R:W NEXT
W:W:M EA
W:W EA+2
R:W:M NEXT
1
W:W:M EA
W:W EA+2
964
A.6
1 MOV.L ERs,@aa:16 MOV.L ERs,@aa:32 MOVFPE @aa:16,Rd MOVTPE Rs,@aa:16 MULXS.B Rs,Rd MULXS.W Rs,ERd MULXU.B Rs,Rd MULXU.W Rs,ERd NEG.B Rd NEG.W Rd NEG.L ERd NOP NOT.B Rd NOT.W Rd NOT.L ERd OR.B #xx:8,Rd OR.B Rs,Rd OR.W #xx:16,Rd OR.W Rs,Rd OR.L #xx:32,ERd OR.L ERs,ERd ORC #xx:8,CCR ORC #xx:8,EXR POP.W Rn POP.L ERn PUSH.W Rn PUSH.L ERn ROTL.B Rd ROTL.B #2,Rd ROTL.W Rd ROTL.W #2,Rd ROTL.L ERd ROTL.L #2,ERd ROTR.B Rd ROTR.B #2,Rd ROTR.W Rd ROTR.W #2,Rd ROTR.L ERd ROTR.L #2,ERd ROTXL.B Rd ROTXL.B #2,Rd ROTXL.W Rd ROTXL.W #2,Rd ROTXL.L ERd ROTXL.L #2,ERd ROTXR.B Rd ROTXR.B #2,Rd ROTXR.W Rd ROTXR.W #2,Rd ROTXR.L ERd ROTXR.L #2,ERd RTE RTS R:W 2nd R:W 2nd R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W R:W:M (EXR) R:W (H) R:W R:W NEXT 1 R:W:M NEXT 1 R:W:M NEXT W:W EA 1 R:W EA 1 R:W 3rd R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT 11 19 11 19 R:W 2nd R:W 2nd LSI 2 R:W:M 3rd R:W:M 3rd 3 R:W NEXT R:W 4th
6
4 W:W:M EA R:W NEXT 5 W:W EA+2 W:W:M EA W:W EA+2 6 7 8 9
R:W:M EA
R:W EA+2
W:W:M EA
W:W EA+2
(H) R:W (L) 1
(L) R:W *4
1
R:W
*4
965
A.6
1 SHAL.B Rd SHAL.B #2,Rd SHAL.W Rd SHAL.W #2,Rd SHAL.L ERd SHAL.L #2,ERd SHAR.B Rd SHAR.B #2,Rd SHAR.W Rd SHAR.W #2,Rd SHAR.L ERd SHAR.L #2,ERd SHLL.B Rd SHLL.B #2,Rd SHLL.W Rd SHLL.W #2,Rd SHLL.L ERd SHLL.L #2,ERd SHLR.B Rd SHLR.B #2,Rd SHLR.W Rd SHLR.W #2,Rd SHLR.L ERd SHLR.L #2,ERd SLEEP STC CCR,Rd STC EXR,Rd STC CCR,@ERd STC EXR,@ERd STC CCR, @(d:16,ERd) STC EXR, @(d:16,ERd) STC CCR, @(d:32,ERd) STC EXR, @(d:32,ERd) STC CCR,@-ERd STC EXR,@-ERd STC CCR,@aa:16 STC EXR,@aa:16 STC CCR,@aa:32 STC EXR,@aa:32 STM.L (ERn-ERn+1), @-SP *9 STM.L (ERn-ERn+2), @-SP *
9
7
2 3 4 5 6 7 8 9
R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W 2nd R:W 2nd R:W 2nd R:W NEXT R:W NEXT R:W 3rd W:W EA W:W EA R:W NEXT W:W EA :M
R:W 2nd
R:W 3rd
R:W NEXT
W:W EA
R:W 2nd
R:W 3rd
R:W 4th
R:W 5th
R:W NEXT
W:W EA
R:W 2nd
R:W 3rd
R:W 4th
R:W 5th
R:W NEXT
W:W EA
R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd R:W 2nd
R:W NEXT R:W NEXT R:W 3rd R:W 3rd R:W 3rd R:W 3rd R:W:M NEXT
1 1 R:W NEXT R:W NEXT R:W 4th R:W 4th 1
W:W EA W:W EA W:W EA W:W EA R:W NEXT R:W NEXT W:W:M *3 W:W EA W:W EA (H) W:W (L) *3
R:W 2nd
R:W:M NEXT
1
W:W:M *
3
(H) W:W
(L) *3
STM.L (ERn-ERn+3), @-SP *9 STMAC MACH,ERd STMAC MACL,ERd SUB.B Rs,Rd SUB.W #xx:16,Rd SUB.W Rs,Rd SUB.L #xx:32,ERd
R:W 2nd
R:W:M NEXT
1
W:W:M *3
(H) W:W
(L) *3
LSI
R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W 3rd R:W NEXT R:W NEXT
966
A.6
1 SUB.L ERs,ERd SUBS #1/2/4,ERd SUBX #xx:8,Rd SUBX Rs,Rd TAS @ERd *8 R:W NEXT R:W NEXT R:W NEXT R:W NEXT R:W 2nd R:W NEXT R:W NEXT 1 R:B:M EA W:W 2 3
8
4 5 6 7 8 9
W:B EA (L) W:W (H) W:W (EXR) R:W:M VEC R:W VEC+2 1 R:W *7
TRAPA #x:2
XOR.B #xx8,Rd XOR.B Rs,Rd XOR.W #xx:16,Rd XOR.W Rs,Rd XOR.L #xx:32,ERd XOR.L ERs,ERd XORC #xx:8,CCR XORC #xx:8,EXR
R:W NEXT R:W NEXT R:W 2nd R:W NEXT R:W 2nd R:W 2nd R:W NEXT R:W 2nd R:W:M VEC R:W NEXT R:W VEC+2 1 R:W *5 R:W 3rd R:W NEXT R:W NEXT R:W NEXT
R:W
*6
1
W:W
(L) W:W
(H) W:W (EXR)
R:W:M VEC
R:W VEC+2
1
R:W
*7
*1 EAs *2 EAs
ER5 ER5 n
EAd EAd
ER6 ER6 R4 2 3 n=0 3 4 4 1
R4L
*3 2
*4 *5 *6 PC 2
*7 *8 TAS *9 STM/LDM ER0 ER1 ER0 ER4 ER6 ER5
967
B.
B.1
I/O
7 H'EC00 M RA SAR H'EFFF SM1 SM0
6 DM1
5 DM0
4 MD1
3 MD0
2 DTS
1 Sz
0 DTC 16 32*
M RB DAR
CHN E
DISEL
CRA
CRB
H'FE80 H'FE84 H'FE85 H'FE86 H'FE8C H'FE8D H'FE8E H'FED8 H'FED9 H'FEDA H'FEDC H'FEDD H'FEDE H'FEE0 H'FEE1 H'FEE2 H'FEE4 H'FEE6 H'FEE8 H'FEE9 H'FEEA H'FEEB H'FEEC
HICR2 IDR3 ODR3 STR3 IDR4 ODR4 STR4 KBCRH0 KBCRL0 KBBR0 KBCRH1 KBCRL1 KBBR1 KBCRH2 KBCRL2 KBBR2 KBCOMP DDCSWR ICRA ICRB ICRC ISR ISCRH IDR7 ODR7 DBU IDR7 ODR7 DBU KBIOE KBE KB7 KBIOE KBE KB7 KBIOE KBE KB7 IrE SWE ICR7 ICR7 ICR7 IRQ7F IDR6 ODR6 DBU IDR6 ODR6 DBU KCLKI KCLKO KB6 KCLKI KCLKO KB6 KCLKI KCLKO KB6 IrCKS2 SW ICR6 ICR6 ICR6 IRQ6F IDR5 ODR5 DBU IDR5 ODR5 DBU KDI KDO KB5 KDI KDO KB5 KDI KDO KB5 IrCKS1 IE ICR5 ICR5 ICR5 IRQ5F KB4 IrCKS0 IF ICR4 ICR4 ICR4 IRQ4F KB4 KBFSEL KB4 KBFSEL IDR4 ODR4 DBU IDR4 ODR4 DBU KBFSEL IDR3 ODR3 C/D IDR3 ODR3 C/D KBIE RXCR3 KB3 KBIE RXCR3 KB3 KBIE RXCR3 KB3 KBADE CLR3 ICR3 ICR3 ICR3 IRQ3F
IBFIE4 IDR2 ODR2 DBU IDR2 ODR2 DBU KBF RXCR2 KB2 KBF RXCR2 KB2 KBF RXCR2 KB2 KBCH2 CLR2 ICR2 ICR2 ICR2 IRQ2F
IBFIE3 IDR1 ODR1 IBF IDR1 ODR1 IBF PER RXCR1 KB1 PER RXCR1 KB1 PER RXCR1 KB1 KBCH1 CLR1 ICR1 ICR1 ICR1 IRQ1F IDR0 ODR0 OBF IDR0 ODR0 OBF KBS RXCR0 KB0 KBS RXCR0 KB0 KBS RXCR0 KB0 KBCH0 CLR0 ICR0 ICR0 ICR0 IRQ0F
HIF
8
8
IrDA/ A/D IIC0
8 8 8
IRQ7SCB IRQ7SCA IRQ6SCB IRQ6SCA IRQ5SCB IRQ5SCA IRQ4SCB IRQ4SCA
968
7 H'FEED H'FEEE H'FEEF H'FEF0 H'FEF1 H'FEF2 H'FEF3 H'FEF4 H'FEF5 H'FEF6 H'FEF7 H'FF80 H'FF81 H'FF82 ISCRL DTCERA DTCERB DTCERC DTCERD DTCERE DTVECR ABRKCR BARA BARB BARC FLMCR1 FLMCR2 PCSR EBR1 H'FF83 SYSCR2 EBR2 H'FF84 H'FF85 H'FF86 H'FF87 H'FF88 SBYCR LPWRCR MSTPCRH MSTPCRL SMR1 ICCR1 H'FF89 BRR1 ICSR1 H'FF8A H'FF8B H'FF8C H'FF8D H'FF8E SCR1 TDR1 SSR1 RDR1 SCMR1 ICDR1 SARX1 H'FF8F ICMR1 SAR1 H'FF90 H'FF91 H'FF92 H'FF93 H'FF94 TIER TCSR FRCH FRCL OCRAH OCRBH ICDR7 SVAX6 MLS SVA6 ICIAE ICFA TDRE ESTP TIE STOP RIE KWUL1 EB7 SSBY DTON
6
5
4
3
2
1
0 8 8
IRQ3SCB IRQ3SCA IRQ2SCB IRQ2SCA IRQ1SCB IRQ1SCA IRQ0SCB IRQ0SCA DTCEA7 DTCEA6 DTCEB7 DTCEB6 DTCEC7 DTCEC6 DTCED7 DTCED6 DTCEE7 DTCEE6 SWDTE CMF A23 A15 A7 FWE FLER PWCKB A22 A14 A6 SWE A21 A13 A5 A20 A12 A4 A19 A11 A3 EV A18 A10 A2 PV A17 A9 A1 E ESU PWCKA EB9/ KWUL0 EB6 STS2 LSON P6PUE EB5 STS1 NESEL EB4 STS0 EXCLE MSTP11 MSTP10 MSTP3 STOP ACKE MSTP2 MP BBSY MSTP9 MSTP1 CKS1 IRIC MSTP8 MSTP0 CKS0 SCP SCI1 IIC1 SCI1 IRTR TE AASX RE AL MPIE AAS TEIE ADZ CKE1 ACKB CKE0 IIC1 SCI1 SDE EB3 CS4E EB2 SCK2 CS3E EB1 SCK1 EB8/ HI12E EB0 SCK0 P PSU PWM FLASH HIF FLASH FLASH DTVEC6 DTCEA5 DTCEA4 DTCEB5 DTCEB4 DTCEC5 DTCEC4 DTCED5 DTCED4 DTCEE5 DTCEE4 DTVEC5 DTVEC4 DTCEA3 DTCEA2 DTCEB3 DTCEB2 DTCEC3 DTCEC2 DTCED3 DTCED2 DTCEE3 DTCEE2 DTVEC3 DTVEC2 DTCEA1 DTCEA0 DTC DTCEB1 DTCEB0 DTCEC1 DTCEC0 DTCED1 DTCED0 DTCEE1 DTCEE0 DTVEC1 DTVEC0 BIE A16 A8
8
8
8 8 8 8 8
MSTP15 MSTP14 MSTP7 C/A ICE MSTP6 CHR IEIC
MSTP13 MSTP12 MSTP5 PE MST MSTP4 O/E TRS
8
8
8
RDRF
ORER
FER
PER
TEND
MPB
MPBT
SDIR ICDR6 SVAX5 WAIT SVA5 ICIBE ICFB ICDR5 SVAX4 CKS2 SVA4 ICICE ICFC ICDR4 SVAX3 CKS1 SVA3 ICIDE ICFD ICDR3 SVAX2 CKS0 SVA2 OCIAE OCFA
SINV ICDR2 SVAX1 BC2 SVA1 OCIBE OCFB ICDR1 SVAX0 BC1 SVA0 OVIE OVF
SMIF ICDR0 FSX BC0 FS FRT CCLRA 16 IIC1 8
969
7 H'FF95 OCRAL OCRBL H'FF96 H'FF97 H'FF98 TCR TOCR ICRAH OCRARH H'FF99 ICRAL OCRARL H'FF9A ICRBH OCRAFH H'FF9B ICRBL OCRAFL H'FF9C ICRCH OCRDMH H'FF9D ICRCL OCRDML H'FF9E H'FF9F H'FFA0 ICRDH ICRDL SMR2 DADRAH DACR H'FFA1 BRR2 DADRAL H'FFA2 H'FFA3 H'FFA4 H'FFA5 H'FFA6 SCR2 TDR2 SSR2 RDR2 SCMR2 DADRBH DACNTH H'FFA7 DADRBL DACNTL H'FFA8 TCSR0 TCNT0 (write) H'FFA9 H'FFAA TCNT0 (read) PAODR OVF DA5 DA4 DA13 DA12 TDRE DA5 TIE DA4 RIE C/A DA13 TEST CHR DA12 0 0 IEDGA
6
5
4
3
2
1
0 FRT 16
IEDGB
IEDGC ICRS
IEDGD OCRS
BUFEA OEA
BUFEB OEB
CKS1 OLVLA
CKS0 OLVLB
ICRDMS OCRAMS
0
0
0
0
0
0
PE DA11
O/E DA10
STOP DA9 OEB
MP DA8 OEA
CKS1 DA7 OS
CKS0 DA6 CKS
SCI2 PWMX
8
PWME
SCI2 DA3 TE DA2 RE DA1 MPIE DA0 TEIE CFS CKE1 CKE0 PWMX SCI2
8
8
RDRF
ORER
FER
PER
TEND
MPB
MPBT
SDIR DA11 DA10 DA9
SINV DA8 DA7
SMIF DA6 PWMX 8
DA3
DA2
DA1
DA0
CFS
REGS REGS
WT/IT
TME
RSTS
RST/NMI
CKS2
CKS1
CKS0
WDT0
16
PA7ODR PA6ODR PA5ODR PA4ODR PA3ODR PA2ODR PA1ODR PA0ODR
8
970
7 H'FFAB PAPIN (read) PADDR (write) H'FFAC H'FFAD H'FFAE H'FFB0 H'FFB1 H'FFB2 H'FFB3 H'FFB4 H'FFB5 H'FFB6 H'FFB7 H'FFB8 H'FFB9 H'FFBA H'FFBB H'FFBC H'FFBD P1PCR P2PCR P3PCR P1DDR P2DDR P1DR P2DR P3DDR P4DDR P3DR P4DR P5DDR P6DDR P5DR P6DR PBODR PBPIN (read) P8DDR (write) H'FFBE P7PIN (read) PBDDR (write) H'FFBF H'FFC0 H'FFC1 H'FFC2 H'FFC3 H'FFC4 H'FFC5 H'FFC6 H'FFC7 H'FFC8 H'FFC9 H'FFCA H'FFCB P8DR P9DDR P9DR IER STCR SYSCR MDCR BCR WSCR TCR0 TCR1 TCSR0 TCSR1 P77PIN P67DR PA7PIN
6 PA6PIN
5 PA5PIN
4 PA4PIN
3 PA3PIN
2 PA2PIN
1 PA1PIN
0 PA0PIN 8
PA7DDR PA6DDR PA5DDR PA4DDR PA3DDR PA2DDR PA1DDR PA0DDR P17PCR P16PCR P27PCR P26PCR P37PCR P36PCR P17DDR P16DDR P27DDR P26DDR P17DR P27DR P16DR P26DR P15PCR P14PCR P25PCR P24PCR P35PCR P34PCR P15DDR P14DDR P25DDR P24DDR P15DR P25DR P14DR P24DR P13PCR P12PCR P23PCR P22PCR P33PCR P32PCR P13DDR P12DDR P23DDR P22DDR P13DR P23DR P12DR P22DR P11PCR P10PCR P21PCR P20PCR P31PCR P30PCR P11DDR P10DDR P21DDR P20DDR P11DR P21DR P10DR P20DR
P37DDR P36DDR P47DDR P46DDR P37DR P47DR P36DR P46DR
P35DDR P34DDR P45DDR P44DDR P35DR P45DR P34DR P44DR
P33DDR P32DDR P43DDR P42DDR P33DR P43DR P32DR P42DR P52DDR
P31DDR P30DDR P41DDR P40DDR P31DR P41DR P30DR P40DR
P51DDR P50DDR P61DDR P60DDR P51DR P61DR P50DR P60DR
P67DDR P66DDR
P65DDR P64DDR
P63DDR P62DDR P52DR
P66DR
P65DR
P64DR
P63DR
P62DR
PB7ODR PB6ODR PB5ODR PB4ODR PB3ODR PB2ODR PB1ODR PB0ODR PB7PIN PB6PIN P86DDR P76PIN PB5PIN PB4PIN PB3PIN PB2PIN PB1PIN PB0PIN
P85DDR P84DDR P75PIN P74PIN
P83DDR P82DDR P73PIN P72PIN
P81DDR P80DDR P71PIN P70PIN
PB7DDR PB6DDR PB5DDR PB4DDR PB3DDR PB2DDR PB1DDR PB0DDR P86DR P97DDR P96DDR P97DR IRQ7E IICS CS2E EXPE ICIS1 RAMS CMIEB CMIEB CMFB CMFB ICIS0 RAM0 CMIEA CMIEA CMFA CMFA BRSTRM BRSTS1 ABW OVIE OVIE OVF OVF AST CCLR1 CCLR1 ADTE BRSTS0 WMS1 CCLR0 CCLR0 OS3 OS3 WMS0 CKS2 CKS2 OS2 OS2 P96DR IRQ6E IICX1 IOSE P85DR P84DR P83DR P82DR P81DR P80DR
P95DDR P94DDR P95DR IRQ5E IICX0 INTM1 P94DR IRQ4E IICE INTM0
P93DDR P92DDR P93DR IRQ3E FLSHE XRST NMIEG P92DR IRQ2E
P91DDR P90DDR P91DR IRQ1E ICKS1 HIE MDS1 IOS1 WC1 CKS1 CKS1 OS1 OS1 P90DR IRQ0E ICKS0 RAME MDS0 IOS0 WC0 CKS0 CKS0 OS0 OS0 TMR0, TMR1 16 8 8 8
971
7 H'FFCC H'FFCD H'FFCE H'FFCF H'FFD0 H'FFD1 H'FFD2 H'FFD3 H'FFD4 H'FFD5 H'FFD6 H'FFD7 H'FFD8 TCORA0 TCORA1 TCORB0 TCORB1 TCNT0 TCNT1 PWOERB PWOERA PWDPRB PWDPRA PWSL PWDR0 PWDR15 SMR0 ICCR0 H'FFD9 BRR0 ICSR0 H'FFDA H'FFDB H'FFDC H'FFDD H'FFDE SCR0 TDR0 SSR0 RDR0 SCMR0 ICDR0 SARX0 H'FFDF ICMR0 SAR0 H'FFE0 H'FFE1 H'FFE2 H'FFE3 H'FFE4 H'FFE5 H'FFE6 H'FFE7 H'FFE8 H'FFE9 H'FFEA ADDRAH ADDRAL ADDRBH ADDRBL ADDRCH ADDRCL ADDRDH ADDRDL ADCSR ADCR TCSR1 TCNT1
(write)
6
5
4
3
2
1
0 TMR0, TMR1 16
OE15 OE7 OS15 OS7 PWCKE
OE14 OE6 OS14 OS6 PWCKS
OE13 OE5 OS13 OS5
OE12 OE4 OS12 OS4
OE11 OE3 OS11 OS3 RS3
OE10 OE2 OS10 OS2 RS2
OE9 OE1 OS9 OS1 RS1
OE8 OE0 OS8 OS0 RS0
PWM
8
C/A ICE
CHR IEIC
PE MST
O/E TRS
STOP ACKE
MP BBSY
CKS1 IRIC
CKS0 SCP
SCI0 IIC0 SCI0
8
ESTP TIE
STOP RIE
IRTR TE
AASX RE
AL MPIE
AAS TEIE
ADZ CKE1
ACKB CKE0
IIC0 SCI0
TDRE
RDRF
ORER
FER
PER
TEND
MPB
MPBT
SDIR ICDR7 SVAX6 MLS SVA6 AD9 AD1 AD9 AD1 AD9 AD1 AD9 AD1 ADF TRGS1 OVF ICDR6 SVAX5 WAIT SVA5 AD8 AD0 AD8 AD0 AD8 AD0 AD8 AD0 ADIE TRGS0 WT/IT TME PSS RST/NMI ADST SCAN CKS AD7 AD6 AD5 AD7 AD6 AD5 AD7 AD6 AD5 ICDR5 SVAX4 CKS2 SVA4 AD7 ICDR4 SVAX3 CKS1 SVA3 AD6 ICDR3 SVAX2 CKS0 SVA2 AD5
SINV ICDR2 SVAX1 BC2 SVA1 AD4 ICDR1 SVAX0 BC1 SVA0 AD3
SMIF ICDR0 FSX BC0 FS AD2 A/D 8 IIC0
AD4
AD3
AD2
AD4
AD3
AD2
AD4
AD3
AD2
CH2
CH1
CH0
CKS2
CKS1
CKS0
WDT1
16
972
7 H'FFEB H'FFF0 TCNT1(read) HICR TCRX TCRY H'FFF1 KMIMR TCSRX TCSRY H'FFF2 KMPCR TICRR TCORAY H'FFF3 KMIMRA TICRF TCORBY H'FFF4 IDR1 TCNTX TCNTY H'FFF5 ODR1 TCORC TISR H'FFF6 STR1 TCORAX H'FFF7 H'FFF8 H'FFF9 H'FFFA H'FFFC TCORBX DADR0 DADR1 DACR IDR2 TCONRI H'FFFD ODR2 TCONRO H'FFFE STR2 TCONRS H'FFFF SEDGR DAOE1 IDR7 SIMOD1 ODR7 HOE DBU TMRX/Y VEDG DBU DBU ODR7 IDR7 IDR6 CMIEB CMIEB
6
5
4
3
2
1
0 WDT1 16 8
IBFIE2 CMIEA CMIEA OVIE OVIE CCLR1 CCLR1 CCLR0 CCLR0 CKS2 CKS2
IBFIE1 CKS1 CKS1
FGA20E HIF CKS0 CKS0 TMRX TMRY
KMIMR7 KMIMR6 CMFB CMFB CMFA CMFA
KMIMR5 KMIMR4 OVF OVF ICF ICIE
KMIMR3 KMIMR2 OS3 OS3 OS2 OS2
KMIMR1 KMIMR0 OS1 OS1 OS0 OS0 TMRX TMRY
KM7PCR KM6PCR KM5PCR KM4PCR KM3PCR KM2PCR KM1PCR KM0PCR TMRX TMRY KMIMR15 KMIMR14 KMIMR13 KMIMR12 KMIMR11 KMIMR10 KMIMR9 KMIMR8 TMRX TMRY IDR5 IDR4 IDR3 IDR2 IDR1 IDR0 HIF TMRX TMRY ODR6 ODR5 ODR4 ODR3 ODR2 ODR1 ODR0 HIF TMRX IS DBU DBU C/D DBU IBF OBF TMRY HIF TMRX 8
D/A
DAOE0 IDR6 SIMOD0 ODR6 VOE DBU
DAE IDR5 SCONE ODR5 CLOE DBU IDR4 ICST ODR4 CBOE DBU IDR3 HFINV ODR3 HOINV C/D IDR2 VFINV ODR2 VOINV DBU IDR1 HIINV ODR1 CLOINV IBF IDR0 VIINV ODR0 CBOINV OBF HIF HIF HIF
ISGENE HOMOD1 HOMOD0 VOMOD1 VOMOD0 CLMOD1 CLMOD0 HEDG CEDG HFEDG VFEDG PREQF IHI IVI
973
B.2
H8S/2148 H8S/2147N H8S/2144
H'EC00 H'EFFF
MRA SAR MRB DAR CRA CRB
SYSCR
RAME=1
DTC
H'FE80 H'FE84 H'FE85 H'FE86 H'FE8C H'FE8D H'FE8E H'FED8 H'FED9 H'FEDA H'FEDC H'FEDD H'FEDE H'FEE0 H'FEE1 H'FEE2 H'FEE4
HICR2 IDR3 ODR3 STR3 IDR4 ODR4 STR4 KBCRH0 KBCRL0 KBBR0 KBCRH1 KBCRL1 KBBR1 KBCRH2 KBCRL2 KBBR2 KBCOMP
MSTP2=0
MSTP2=0
HIF
MSTP2=0
MSTP2=0
IrDA/ A/D
H'FEE6 H'FEE8 H'FEE9 H'FEEA H'FEEB H'FEEC H'FEED H'FEEE H'FEEF H'FEF0 H'FEF1 H'FEF2
DDCSWR ICRA ICRB ICRC ISR ISCRH ISCRL DTCERA DTCERB DTCERC DTCERD DTCERE
MSTP4=0
MSTP4=0
IIC0
DTC
974
H8S/2148
H8S/2147N
H8S/2144
H'FEF3 H'FEF4 H'FEF5 H'FEF6 H'FEF7 H'FF80 H'FF81 H'FF82
DTVECR ABRKCR BARA BARB BARC FLMCR1 FLMCR2 PCSR EBR1 STCR STCR STCR STCR STCR FLSHE=0 FLSHE=1 FLSHE=0 FLSHE=1 FLSHE=0 STCR STCR STCR STCR STCR FLSHE=0 FLSHE=1 FLSHE=0 FLSHE=1 FLSHE=0 STCR STCR FLSHE=1 FLSHE=0 STCR FLSHE=1 STCR FLSHE=1 STCR FLSHE=1 STCR FLSHE=1
DTC
FLASH
PWM FLASH HIF FLASH
H'FF83
SYSCR2 EBR2
H'FF84 H'FF85 H'FF86 H'FF87 H'FF88
SBYCR LPWRCR MSTPCRH MSTPCRL SMR1 ICCR1
MSTP6=0 STCR MSTP3=0 STCR MSTP6=0 STCR MSTP3=0 STCR MSTP6=0
IICE=0 IICE=1 IICE=0 IICE=1
MSTP6=0 STCR MSTP3=0 STCR MSTP6=0 STCR MSTP3=0 STCR MSTP6=0
IICE=0 IICE=1 IICE=0 IICE=1
MSTP6=0 STCR
IICE=0
SCI1 IIC1
H'FF89
BRR1 ICSR1
MSTP6=0 STCR
IICE=0
SCI1 IIC1
H'FF8A H'FF8B H'FF8C H'FF8D H'FF8E
SCR1 TDR1 SSR1 RDR1 SCMR1 ICDR1 SARX1
MSTP6=0
SCI1
MSTP6=0 STCR MSTP3=0 STCR IICE=1
IICE=0 ICCR1 ICCR1 ICCR1 ICCR1 ICE=1 ICE=0 ICE=1 ICE=0
MSTP6=0 STCR MSTP3=0 STCR
IICE=0 ICE=1 ICE=0 ICE=1 ICE=0
MSTP6=0 STCR
IICE=0 IIC1
ICCR1
IICE=1 ICCR1 ICCR1 ICCR1
H'FF8F
ICMR1 SAR1
H'FF90 H'FF91 H'FF92 H'FF93 H'FF94
TIER TCSR FRCH FRCL OCRAH OCRBH
MSTP13=0
MSTP13=0
MSTP13=0
FRT
TOCR TOCR TOCR TOCR
OCRS=0 OCRS=1 OCRS=0 OCRS=1
TOCR TOCR TOCR TOCR
OCRS=0 OCRS=1 OCRS=0 OCRS=1
TOCR TOCR TOCR TOCR
OCRS=0 OCRS=1 OCRS=0 OCRS=1
H'FF95
OCRAL OCRBL
H'FF96
TCR
975
H8S/2148
H8S/2147N
H8S/2144
H'FF97 H'FF98
TOCR ICRAH OCRARH
MSTP13=0 TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1
MSTP13=0 TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1
MSTP13=0 TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR TOCR ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1 ICRS =0 ICRS =1
FRT
H'FF99
ICRAL OCRARL
H'FF9A
ICRBH OCRAFH
H'FF9B
ICRBL OCRAFL
H'FF9C
ICRCH OCRDMH
H'FF9D
ICRCL OCRDML
H'FF9E H'FF9F H'FFA0
ICRDH ICRDL SMR2 DADRAH MSTP5=0 STCR MSTP11=0 STCR DACR IICE=1 IICE=0 DACNT/DADRB REGS=0 DACNT/DADRB REGS=1 MSTP5=0 STCR MSTP11=0 STCR IICE=1 IICE=0 MSTP5=0 STCR IICE=0 DACNT/DADRB REGS=0 DACNT/DADRB REGS=1 MSTP5=0 STCR IICE=0 DACNT/DADRB REGS=0 SCI2 SCI2 PWMX SCI2 PWMX
DACNT/DADRB MSTP11=0 REGS=0 DACNT/DADRB REGS=1 STCR IICE=1
H'FFA1
BRR2 DADRAL
MSTP5=0 STCR MSTP11=0 STCR IICE=1
IICE=0 DACNT/DADRB REGS=0
MSTP5=0 STCR MSTP11=0 STCR IICE=1
IICE=0
DACNT/DADRB MSTP11=0 REGS=0 STCR IICE=1
H'FFA2 H'FFA3 H'FFA4 H'FFA5 H'FFA6
SCR2 TDR2 SSR2 RDR2 SCMR2 DADRBH
MSTP5=0
MSTP5=0
MSTP5=0
MSTP5=0 STCR MSTP11=0 STCR IICE=1
IICE=0 DACNT/DADRB REGS=0 DACNT/DADRB REGS=1
MSTP5=0 STCR MSTP11=0 STCR IICE=1
IICE=0
MSTP5=0 STCR
IICE=0 DACNT/DADRB REGS=0 DACNT/DADRB REGS=1 DACNT/DADRB REGS=0 DACNT/DADRB REGS=1 WDT0 PWMX
DACNT/DADRB MSTP11=0 REGS=0 DACNT/DADRB REGS=1 DACNT/DADRB REGS=0 DACNT/DADRB REGS=1 STCR IICE=1
DACNTH
H'FFA7
DADRBL
DACNT/DADRB REGS=0
DACNTL
DACNT/DADRB REGS=1
H'FFA8
TCSR0 TCNT0 (write)
H'FFA9
TCNT0 (read)
976
H8S/2148
H8S/2147N
H8S/2144
H'FFAA H'FFAB
PAODR0 PAPIN (read) PADDR (write)
H'FFAC H'FFAD H'FFAE H'FFB0 H'FFB1 H'FFB2 H'FFB3 H'FFB4 H'FFB5 H'FFB6 H'FFB7 H'FFB8 H'FFB9 H'FFBA H'FFBB H'FFBC H'FFBD
P1PCR P2PCR P3PCR P1DDR P2DDR P1DR P2DR P3DDR P4DDR P3DR P4DR P5DDR P6DDR P5DR P6DR PBODR P8DDR (write) PBPIN (read)
H'FFBE
P7PIN (read) PBDDR (write)
H'FFBF H'FFC0 H'FFC1 H'FFC2 H'FFC3 H'FFC4 H'FFC5 H'FFC6 H'FFC7 H'FFC8 H'FFC9 H'FFCA H'FFCB
P8DR P9DDR P9DR IER STCR SYSCR MDCR BCR WSCR TCR0 TCR1 TCSR0 TCSR1 MSTP12=0 MSTP12=0 MSTP12=0 TMR0, TMR1
977
H8S/2148
H8S/2147N
H8S/2144
H'FFCC H'FFCD H'FFCE H'FFCF H'FFD0 H'FFD1 H'FFD2 H'FFD3 H'FFD4 H'FFD5 H'FFD6 H'FFD7 H'FFD8
TCORA0 TCORA1 TCORB0 TCORB1 TCNT0 TCNT1 PWOERB PWOERA PWDPRB PWDPRA PWSL PWDR0 15 SMR0 ICCR0
MSTP12=0
MSTP12=0
MSTP12=0
TMR0, TMR1
PWM
MSTP11=0
MSTP11=0
MSTP7=0 STCR MSTP4=0 STCR MSTP7=0 STCR MSTP4=0 STCR MSTP7=0
IICE=0 IICE=1 IICE=0 IICE=1
MSTP7=0 STCR MSTP4=0 STCR MSTP7=0 STCR MSTP4=0 STCR MSTP7=0
IICE=0 IICE=1 IICE=0 IICE=1
MSTP7=0 STCR
IICE=0
SCI0 IIC0
H'FFD9
BRR0 ICSR0
MSTP7=0 STCR
IICE=0
SCI0 IIC0
H'FFDA H'FFDB H'FFDC H'FFDD H'FFDE
SCR0 TDR0 SSR0 RDR0 SCMR0 ICDR0 SARX0
MSTP7=0
SCI0
MSTP7=0 STCR MSTP4=0 STCR IICE=1
IICE=0 ICCR0 ICCR0 ICCR0 ICCR0 ICE=1 ICE=0 ICE=1 ICE=0
MSTP7=0 STCR MSTP4=0 STCR IICE=1
IICE=0 ICE=1 ICE=0 ICE=1 ICE=0
MSTP7=0 STCR
IICE=0 IIC0
ICCR0 ICCR0 ICCR0 ICCR0
H'FFDF
ICMR0 SAR0
H'FFE0 H'FFE1 H'FFE2 H'FFE3 H'FFE4 H'FFE5 H'FFE6 H'FFE7 H'FFE8 H'FFE9
ADDRAH ADDRAL ADDRBH ADDRBL ADDRCH ADDRCL ADDRDH ADDRDL ADCSR ADCR
MSTP9=0
MSTP9=0
MSTP9=0
A/D
978
H8S/2148
H8S/2147N
H8S/2144
H'FFEA
TCSR1 TCNT1 (write)
WDT1
H'FFEB H'FFF0
TCNT1 (read) HICR TCRX MSTP2=0 SYSCR MSTP8=0 SYSCR TCRY HIE=1 MSTP2=0 SYSCR HIE=1 HIF TMRX
TCONRS HIE=0 TMRX/Y=0 TCONRS TMRX/Y=1 MSTP8=0 SYSCR HIE=0 MSTP8=0 SYSCR HIE=0
TMRY
H'FFF1
KMIMR TCSRX
MSTP2=0 SYSCR MSTP8=0 SYSCR
HIE=1
MSTP2=0 SYSCR
HIE=1
MSTP2=0 SYSCR
HIE=1 TMRX
TCONRS HIE=0 TMRX/Y=0 TCONRS TMRX/Y=1 MSTP8=0 SYSCR HIE=0 MSTP8=0 SYSCR HIE=0
TCSRY
TMRY
H'FFF2
KMPCR TICRR
MSTP2=0 SYSCR MSTP8=0 SYSCR
HIE=1
MSTP2=0 SYSCR
HIE=1
MSTP2=0 SYSCR
HIE=1 TMRX
TCONRS HIE=0 TMRX/Y=0 TCONRS TMRX/Y=1 MSTP8=0 SYSCR HIE=0 MSTP8=0 SYSCR HIE=0
TCORAY
TMRY
H'FFF3
KMIMRA TICRF
MSTP2=0 SYSCR MSTP8=0 SYSCR
HIE=1
MSTP2=0 SYSCR
HIE=1
MSTP2=0 SYSCR
HIE=1 TMRX
TCONRS HIE=0 TMRX/Y=0 TCONRS TMRX/Y=1 MSTP8=0 SYSCR HIE=0 MSTP8=0 SYSCR HIE=0
TCORBY
TMRY
H'FFF4
IDR1 TCNTX
MSTP2=0 SYSCR MSTP8=0 SYSCR
HIE=1
MSTP2=0 SYSCR
HIE=1
HIF TMRX
TCONRS HIE=0 TMRX/Y=0 TCONRS TMRX/Y=1 MSTP8=0 SYSCR HIE=0 MSTP8=0 SYSCR HIE=0
TCNTY
TMRY
H'FFF5
ODR1 TCORC
MSTP2=0 SYSCR MSTP8=0 SYSCR
HIE=1
MSTP2=0 SYSCR
HIE=1
HIF TMRX
TCONRS HIE=0 TMRX/Y=0 TCONRS TMRX/Y=1 MSTP8=0 SYSCR HIE=0 MSTP8=0 SYSCR HIE=0
TISR
TMRY
H'FFF6
STR1 TCORAX
MSTP2=0 SYSCR MSTP8=0 SYSCR
HIE=1
MSTP2=0 SYSCR
HIE=1
HIF TMRX
TCONRS HIE=0 TMRX/Y=0
H'FFF7 H'FFF8 H'FFF9 H'FFFA
TCORBX DADR0 DADR1 DACR MSTP10=0 MSTP10=0 MSTP10=0 D/A
979
H8S/2148
H8S/2147N
H8S/2144
H'FFFC
IDR2 TCONRI
MSTP2=0 SYSCR MSTP8=0 SYSCR
HIE=1 HIE=0
MSTP2=0 SYSCR
HIE=1
HIF
H'FFFD
ODR2 TCONRO
MSTP2=0 SYSCR MSTP8=0 SYSCR
HIE=1 HIE=0
MSTP2=0 SYSCR
HIE=1
HIF
H'FFFE
STR2 TCONRS
MSTP2=0 SYSCR MSTP8=0 SYSCR
HIE=1 HIE=0
MSTP2=0 SYSCR
HIE=1
HIF
H'FFFF
SEDGR
980
B.3 H'EC00 H'EFFF DTC A MRA DTC
981
H'EC00 H'EFFF DTC
B MRB DTC
H'EC00 H'EFFF DTC
SAR
DTC
H'EC00 H'EFFF DTC
DAR
DTC
982
H'EC00 H'EFFF DTC
A CRA
DTC
H'EC00 H'EFFF DTC
B CRB
DTC
H'FE80
7 1 R/W R/W 6 1 5 1 4 1 3 1
2
HICR2
2 IBFIE4 0 R/W
HIF
0 0
1 IBFIE3 0 R/W
IBFIE4 IBFIE3 0 1 0 1
IDR3 IDR3 IDR4 IDR4
983
H'FE84: H'FE8C:
3 IDR3 HIF 4 IDR4 HIF
7 IDR7 R/W R/W R W 6 IDR6 R W CS Low 5 IDR5 R W IOW 4 IDR4 R W 3 IDR3 R W 2 IDR2 R W 1 IDR1 R W 0 IDR0 R W
H'FE85: H'FE8D:
3 ODR3 HIF 4 ODR4 HIF
7 ODR7 R/W R/W R/W R 6 ODR6 R/W R 5 ODR5 R/W R 4 ODR4 R/W R 3 ODR3 R/W R 2 ODR2 R/W R 1 ODR1 R/W R 0 ODR0 R/W R
HA0 Low CS Low IOR Low ODR
984
H'FE86: H'FE8E:
7 DBU 0 R/W R/W R/W R
3 STR3 HIF 4 STR4 HIF
6 DBU 0 R/W R 5 DBU 0 R/W R 4 DBU 0 R/W R 3 C/D 0 R R 2 DBU 0 R/W R 1 IBF 0 R R 0 OBF 0 R/(W) R
0 ODR 1 ODR
0 IDR 1 IDR
0 1
IDR IDR
985
H'FED8 H'FEDC H'FEE0
7 KBIOE 0 R/W R/W 6 KCLKI 1 R 5 KDI 1 R 4 KBFSEL 1 R/W 3 KBIE 0 R/W
H0 H1 H2
2 KBF 0 R/(W)*
KBCRH0 KBCRH1 KBCRH2
1 PER 0 R/(W)* 0 KBS 0 R
0 1
0 1
0 PER 1 1 0
0 KBF 1 1 0
0 1
0 1
0 1
KD KD
Low High
0 1
KCLK KCLK
Low High
0 1
KCLK
KD KCLK KD
*
0
986
H'FED9 H'FEDD H'FEE1
L0 KBCRL0 L1 KBCRL1 L2 KBCRL2
987
H'FEDA H'FEDE H'FEE2
0 1 2
KBBR0 KBBR1 KBBR2
988
H'FEE4
KBCOMP IrDA/
7 IrE 0 6 IrCKS2 0 R/W 5 IrCKS1 0 R/W 4 IrCKS0 0 R/W 3 KBADE 0 R/W 2 KBCH2 0 R/W 1 KBCH1 0 R/W 0 KBCH0 0 R/W
A/D
R/W
R/W
3
2
1
0
A/D 6 AN6
A/D 7 AN7 CIN8 CIN9 CIN10 CIN11 CIN12 CIN13 CIN14 CIN15
KBADE KBCH2 KBCH1 KBCH0 0 1 0 0 0 1 1 0 1 1 0 0 1 1 0 1 IrDA 0 0 2 0 1 1 0 1 1 0 0 1 1 0 1 IrDA 0 1 TxD2/IrTxD RxD2/IrRxD TxD2/IrTxD RxD2/IrRxD TxD2 IrTxD RxD2 IrRxD 0 B 3/16 /2 /4 /8 /16 /32 /64 /128 16
CIN0 CIN1 CIN2 CIN3 CIN4 CIN5 CIN6 CIN7
3
989
H'FEE6 DDC
7 SWE 0 R/W R/W 6 SW 0 R/W
DDCSWR
5 IE 0 R/W 4 IF 0 R/(W)*
IIC0
3 CLR3 1 W 2 CLR2 1 W 1 CLR1 1 W 0 CLR0 1 W
IIC DDC 0 IF 1 SWE 1 SCL 1 0
DDC 0 1
DDC 0 IIC 1 2 1 IIC SW 0 SWE 1 0 1 SCL 0 I2C 0
DDC 0 IIC 0 I2C I2C
1
IIC
0
*
0
990
H'FEE8 H'FEE9 H'FEEA
A B C
ICRA ICRB ICRC
7 ICR7 0 R/W R/W
6 ICR6 0 R/W
5 ICR5 0 R/W
4 ICR4 0 R/W
3 ICR3 0 R/W
2 ICR2 0 R/W
1 ICR1 0 R/W
0 ICR0 0 R/W
0 1
0 1
ICR
7 ICRA IRQ0
6 IRQ1
5 IRQ2 IRQ3
4 IRQ4 IRQ5
3 IRQ6 IRQ7
2 DTC
1
0
0 ICRB A/D 8 8 8 HIF
1
0
1 X Y
ICRC
SCI 0
SCI 1
SCI 2
IIC 0
IIC 1
991
H'FEEB
IRQ
7 IRQ7F 0 R/W R/(W)* 6 IRQ6F 0 R/(W)*
ISR
5 IRQ5F 0 R/(W)* 4 IRQ4F 0 R/(W)* 3 IRQ3F 0 R/(W)* 2 IRQ2F 0 R/(W)* 1 IRQ1F 0 R/(W)* 0 IRQ0F 0 R/(W)*
IRQ7 0
IRQ0 1 IRQnF 2 Low 3 IRQnSCB 1 * IRQnSCA 1 IRQn 1 High 0 IRQnSCB
IRQnSCA
0
IRQn *
1 1 2 IRQn 3 IRQn 4 IRQnSCB IRQnSCA 1 IRQn IRQnSCB 1 IRQnSCA 0 Low Low IRQnSCB IRQnSCA 0 IRQnSCB 0 IRQn
IRQnSCA 1
n
*
70
*
0
992
H'FEEC IRQ H'FEED IRQ
H ISCRH L ISCRL
IRQ7 IRQ0 IRQ7 IRQ0 IRQ7 IRQ0 IRQ7 IRQ0
993
H'FEEE
H'FEF2 DTC
DTCER DTC
H'FEF3 DTC
DTVECR
DTC
*
994
H'FEF4
ABRKCR
7 CMF 0 R/W R
6 0
5 0
4 0
3 0
2 0
1 0
0 BIE 0 R/W
0 1
0
1 BIE=1 BARA BARC
995
H'FEF5 H'FEF6 H'FEF7
A B C
BARA BARB BARC
996
H'FF80
7 FWE 1 R/W R 6 SWE 0 R/W 0 0 5 4 3 EV 0 R/W 2 PV 0 R/W
1
1 E 0 R/W
FLMCR1
0 P 0 R/W
FLASH
0 1 SWE 1 PSU 1
0 1 SWE 1 ESU 1
0 1 SWE 1
0 1 SWE 1
0 1
997
H'FF81
7 FLER 0 R/W R 0 0 0 0 0 6 5 4 3 2
2
1 ESU 0 R/W
FLMCR2
0 PSU 0 R/W
FLASH
0 1 SWE 1
0 1 SWE 1
0
1
22.8.3
998
H'FF82
PCSR
PWM
999
H'FF83
7 KWUL1 0 R/W R/W 6 KWUL0 0 R/W 5 P6PUE 0 R/W
2
4 0
SYSCR2 HIF
3 SDE 0 R/W 2 CS4E 0 R/W 1 CS3E 0 R/W 0 HI12E 0 R/W
0 1 CS3 0 1 CS4 0 1 4 4 3 3
0 1 6 1 0 6 6 1 0 0 1 1 0 1 6 6 6 6 1 2 3 0 MOS MOS
1000
H'FF82 H'FF83
7 EBR1 0 R/W 0 6
1 2
5 0
EBR1 EBR2
4 0
FLASH FLASH
3 0 2 0 1 0 R/W*1*2 0 0 R/W*1*2 EB9/ *2 EB8/ *2
7 EBR2 R/W *1 *2 64k EB7 0 R/W*1
6 EB6 0 R/W
5 EB5 0 R/W 0 EB8 EB9
4 EB4 0 R/W
3 EB3 0 R/W
2 EB2 0 R/W
1 EB1 0 R/W
0 EB0 0 R/W
1
128k EB0 1k EB1 1k EB2 1k EB3 1k EB4 28k EB5 16k EB6 8k EB7 8k EB8 32k EB9 32k
64k EB0 1k EB1 1k EB2 1k EB3 1k EB4 28k EB5 16k EB6 8k EB7 8k H'(00)0000 H'(00)03FF H'(00)0400 H'(00)07FF H'(00)0800 H'(00)0BFF H'(00)0C00 H'(00)0FFF H'(00)1000 H'(00)7FFF H'(00)8000 H'(00)BFFF H'(00)C000 H'(00)DFFF H'00 E000 H' 00 FFFF H'01 0000 H'01 7FFF H'01 8000 H'01 FFFF
1001
H'FF84
7 SSBY 0 R/W R/W 6 STS2 0 R/W 5 STS1 0 R/W 4
SBYCR
3 0 2 SCK2 0 R/W 1 SCK1 0 R/W 0 SCK0 0 R/W STS0 0 R/W
2 0 0 0 1 1 0 1 1 0 0 1 1
0
2 4 8 16 32
2 0 0 0 1 1 0 1 1 0 0 1 1 0 1
0 8192 16384 32768 65536 131072 262144
16
0
SLEEP SLEEP
1
SLEEP
SLEEP
1002
H'FF85
LPWRCR
*
*
*
*
*
*
1003
H'FF86 H'FF87
MSTPCRH 7 0 R/W 6 0 5 1 4 1 3 1 2 1 1 1 0 1
H L
7 1
MSTPCRH MSTPCRL
MSTPCRL 6 1 5 1 4 1 3 1 2 1 1 1 0 1
MSTP15 MSTP14 MSTP13 MSTP12 MSTP11 MSTP10 MSTP9 MSTP8 MSTP7 MSTP6 MSTP5 MSTP4 MSTP3 MSTP2 MSTP1 MSTP0
R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
0 1
MSTPCRH
MSTP15 MSTP14* MSTP13 MSTP12 MSTP11 MSTP10 MSTP9 MSTP8 16 8 8 D/A A/D 8 TMRX TMRY 0 1 2 I2C I2C IIC IIC HIF SCI0 SCI1 SCI2 TMR0 TMR1 PWM 14 PWMX DTC FRT
MSTPCRL
MSTP7 MSTP6 MSTP5 MSTP4* MSTP3* MSTP2
PS2 MSTP1* MSTP0* 1 0
*
H8S/2144
1
1004
H'FF88 H'FFA0 H'FFD8
A
1 2 0
SMR1 SMR2 SMR0
E
SCI1 SCI2 SCI0
* *
1005
H'FF88 I2C H'FFD8 I2C
1 0
ICCR1 ICCR0
IIC1 IIC0
*
*
1006
H'FF89 H'FFA1 H'FFD9
1 2 0
BRR1 SCI1 BRR2 SCI2 BRR0 SCI0
1007
H'FF89 I2C H'FFD9 I2C
7 ESTP 0 R/W R/(W)*1 6 STOP 0 R/(W)*1 5 IRTR 0 R/(W)*1 4 AASX 0 R/(W)*1 3 AL 0 R/(W)*1
1 0
2
ICSR1 IIC1 ICSR0 IIC0
1 ADZ 0 R/(W)*1 0 ACKB 0 R/W
AAS 0 R/(W)*1
0 0 1 1 *2 0 1
0
1
*2 0 1 *2 0 1 2 0 1 2 2 *2
I2C 0 1
*2
*2 0 1 I2C I2C *2 0 1 I2C I2C
*1 *2
0 16.2.6 I 2C ICSR
1008
H'FF8A H'FFA2 H'FFDA
1 2 0
SCR1 SCI1 SCR2 SCI2 SCR0 SCI0
1009
H'FF8D H'FFA5 H'FFDD
1 2 0
RDR1 SCI1 RDR2 SCI2 RDR0 SCI0
H'FF8B H'FFA3 H'FFDB
1 2 0
TDR1 TDR2 TDR0
SCI1 SCI2 SCI0
1010
H'FF8C H'FFA4 H'FFDC
7 TDRE 1 R/W R/(W)* 6 RDRF 0 R/(W)* 5 ORER 0 R/(W)* 4 FER 0 R/(W)*
1 2 0
3 PER 0 R/(W)*
SSR1 SSR2 SSR0
2 TEND 1 R 1 MPB 0 R
SCI1 SCI2 SCI0
0 MPBT 0 R/W
0 1
0 1
0 0 1 1
0 1 2 1 1 2 SCR 1 TDRE 1 TE 0 TDRE 1 TXI DTC TDRE TDR 0
0 PER 1 1 SMR O/E 1 0
0 FER 1 SCI 0 1 0
0 ORER 1 RDRF 1 1 0
0 1 2 1 RSR RDR RDRF RXI 1 DTC RDR 0
0 1 2 1 1 2 SCR TDR TE TSR 0 TDR TDRE TXI 1 DTC TDR 0
*
0
1011
H'FF8E H'FFA6 H'FFDE
1 2 0
SCMR1 SCI1 SCMR2 SCI2 SCMR0 SCI0
1012
H'FF8E H'FFDE
I2C I2C
1 0
ICDR1 ICDR0
IIC1 IIC0
1013
H'FF8E H'FF8F H'FFDE H'FFDF
2 1 2 0
1 0
SARX1 SAR1 SARX0 SAR0
IIC1 IIC1 IIC0 IIC0
*
*
1014
H'FF8F H'FFDF
I2C I2C
1 0
ICMR1 ICMR0
IIC1 IIC0
*
*
1015
H'FF90
TIER
FRT
1016
H'FF91
TCSR FRT
*
1017
H'FF92
FRC
FRT
H'FF94
A B OCRA OCRB FRT
1018
H'FF96
TCR
FRT
1019
H'FF97
TOCR
FRT
1020
H'FF98 H'FF9A
AR AF
OCRAR OCRAF
FRT FRT
H'FF9C
DM
OCRDM
FRT
H'FF98 H'FF9A H'FF9C H'FF9E
A B C D
ICRA ICRB ICRC ICRD
FRT FRT FRT FRT
1021
H'FFA0 H'FFA1 H'FFA6 H'FFA7
PWM PWM PWM PWM
D/A D/A D/A D/A
AH AL BH BL
DADRAH DADRAL DADRBH DADRBL
PWMX PWMX PWMX PWMX
1022
H'FFA0 PWM D/A
DACR
PWMX
1023
H'FFA6 PWM D/A H'FFA7 PWM D/A
H L
DACNTH DACNTL
PWMX PWMX
1024
H'FFA8
7 OVF 0 R/W R/(W)* 6 WT/IT 0 R/W 5 TME 0 R/W 4 RSTS 0 R/W 3
0
RST/NMI 0 R/W
TCSR0
2 CKS2 0 R/W 1 CKS1 0 R/W
WDT0
0 CKS0 0 R/W
2
0
CKS2 CKS1 CKS0 0 0 0 1 1 0 1 1 0 0 1 1 0 1 2 64 128 512 2048 8192 32768 131072
NMI 0 1 NMI
0 1
TCNT H'00 TCNT
0 CPU 1 CPU NMI
TCNT WOVI TCNT
0 1 TME 2 OVF 1 1 TCNT H'FF H'00 0 TCSR OVF 0
*
0
1025
H'FFA8 H'FFEA
W H'FFA9 W H'FFEB
R R
0 1
TCNT0 WDT0 TCNT1 WDT1
H'FFAA
A
7 0 R/W R/W 6 0 R/W 5 0 R/W
PAODR
4 0 R/W 3 0 R/W 2 0
A
1 0 R/W 0 0 R/W
PA7ODR PA6ODR PA5ODR PA4ODR PA3ODR PA2ODR PA1ODR PA0ODR R/W
H'FFAB
R
A
7 * R/W R 6 * R 5 * R
PAPIN
4 * R 3 * R 2 * R
A
1 * R 0 * R
PA7PIN PA6PIN PA5PIN PA4PIN PA3PIN PA2PIN PA1PIN PA0PIN
*
PA7 PA0
H'FFAB W
A
7 0 R/W W 6 0 W 5 0 W 4 0 W 3 0 W
PADDR
2 0 W 1 0 W 0 0 W
A
PA7DDR PA6DDR PA5DDR PA4DDR PA3DDR PA2DDR PA1DDR PA0DDR
1026
H'FFAC
1
MOS
P1PCR
1
H'FFAD
2
MOS
P2PCR
2
H'FFAE
3
MOS
P3PCR
3
H'FFB0
1
7 0 R/W W 6 0 W 5 0 W 4 0 W
P1DDR
3 0 W 2 0 W 1 0 W
1
0 0 W
P17DDR P16DDR P15DDR P14DDR P13DDR P12DDR P11DDR P10DDR
1
1027
H'FFB1
2
7 0 R/W W 6 0 W 5 0 W 4 0 W
P2DDR
3 0 W 2 0 W 1 0 W
2
0 0 W
P27DDR P26DDR P25DDR P24DDR P23DDR P22DDR P21DDR P20DDR
2
H'FFB2
1
P1DR
1
H'FFB3
2
P2DR
2
H'FFB4
3
P3DDR
3
1028
H'FFB5
4
7 0 R/W W 6 0 W 5 0 W 4 0 W
P4DDR
3 0 W 2 0 W 1 0 W
4
0 0 W
P47DDR P46DDR P45DDR P44DDR P43DDR P42DDR P41DDR P40DDR
H'FFB6
3
P3DR
3
H'FFB7
4
7 P47DR 0 R/W R/W 6 P46DR 0 R/W
P4DR
5 P45DR 0 R/W 4 P44DR 0 R/W
4
3 P43DR 0 R/W 2 P42DR 0 R/W 1 P41DR 0 R/W 0 P40DR 0 R/W
H'FFB8
5
P5DDR
5
1029
H'FFB9
6
P6DDR
6
H'FFBA
5
P5DR
5
H'FFBB
6
P6DR
6
H'FFBC
B
7 0 R/W R/W 6 0 R/W 5 0 R/W
PBODR
4 0 R/W 3 0 R/W 2 0
B
1 0 R/W 0 0 R/W
PB7ODR PB6ODR PB5ODR PB4ODR PB3ODR PB2ODR PB1ODR PB0ODR R/W
1030
H'FFBD
W
8
7 1 R/W 6 0 W 5 0 W 4 0 W 3 0 W 2 0 W
P8DDR
1 0 W 0 0 W P86DDR P85DDR P84DDR P83DDR P82DDR P81DDR P80DDR
8
H'FFBD
R
B
7 * R/W R 6 * R 5 * R
PBPIN
4 * R 3 * R 2 * R
B
1 * R 0 * R
PB7PIN PB6PIN PB5PIN PB4PIN PB3PIN PB2PIN PB1PIN PB0PIN
*
PB7 PB0
H'FFBE W
B
7 0 R/W W 6 0 W 5 0 W 4 0 W 3 0 W
PBDDR
2 0 W 1 0 W 0 0 W
B
PB7DDR PB6DDR PB5DDR PB4DDR PB3DDR PB2DDR PB1DDR PB0DDR
H'FFBE
R
7
P7PIN
7
*
*
*
*
*
*
*
*
*
1031
H'FFBF
8
7 1 R/W 6 P86DR 0 R/W
P8DR
5 P85DR 0 R/W 4 P84DR 0 R/W
8
3 P83DR 0 R/W 2 P82DR 0 R/W 1 P81DR 0 R/W 0 P80DR 0 R/W
H'FFC0
9
7 6 5 4 3
P9DDR
2 1
9
0
P97DDR P96DDR P95DDR P94DDR P93DDR P92DDR P91DDR P90DDR 0 R/W W 0 R/W W 1 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W 0 W
H'FFC1
9
7 P97DR 0 R/W R/W 6
P9DR
5 P95DR 0 R/W 4 P94DR 0 R/W P96DR * R
9
3 P93DR 0 R/W 2 P92DR 0 R/W 1 P91DR 0 R/W 0 P90DR 0 R/W
*
P96
1032
H'FFC2 IRQ
IER
H'FFC3
7 IICS 0 R/W R/W 6 IICX1 0 R/W 5 IICX0 0 R/W 4 IICE 0 R/W 3
STCR
2 0 R/W 1 ICKS1 0 R/W 0 ICKS0 0 R/W FLSHE 0 R/W
*1
0 1 I2C 0 SCI0 I2C SCI1 SCI2 CPU
1
PWMX CPU
I2 C I2C 0 1 PA7 PA4 PA7 PA4
1
0*2
*1 *2
8 TCR I2C ICMR
12.2.4 16.2.4 I2C
1033
H'FFC4
7 CS2E 0 R/W R/W 6 IOSE 0 R/W 5 INTM1 0 R 4 INTM0 0 R/W 3 XRST 1 R
SYSCR
2 NMIEG 0 R/W 1 HIE 0 R/W 0 RAME 1 R/W
RAM 0 1 RAM RAM
0 8
H'(FF)FFF0 H'(FF)FFF7 H'(FF)FFFC H'(FF)FFFF X Y
1
H'(FF)FFF0 H'(FF)FFF7 H'(FF)FFFC H'(FF)FFFF
MOS NMI 0 1
0
1
0
0 1
0 1
IOS 0 1 AS/IOS Low AS/IOS I/O H' (FF) F000 H' (FF) FE4F*
* H8S/2148 F-ZTAT A H' (FF) F000 H' (FF) F7FF H8S/2147 F-ZTAT A
Low
SYSCR 7 CS2E 0
HICR 0 FGA20E 0 1 CS2 CS2 P81/CS2 P90/ECS2 High CS2 CS2
1
0 1
1034
H'FFC5
MDCR
* *
*
*
*
1035
H'FFC6
7 ICIS1 1 R/W R/W 6 ICIS0 1 R/W
BCR
5 0 R/W 4 1 R/W 3 0 R/W 2 1 R/W 1 IOS1 1 R/W 0 IOS0 1 R/W BRSTRM BRSTS1 BRSTS0
IOS IOS1 IOS0 0 0 1 1 0 1 IOSE Low H'(FF)F000 H'(FF)F03F H'(FF)F000 H'(FF)F0FF H'(FF)F000 H'(FF)F3FF H'(FF)F000 H'(FF)FE4F Low Low Low Low 1 AS/IOS
0 0 1 4 8
1 0 1 1 2
ROM 0 1 ROM
0 0
1
1036
H'FFC7
WSCR
1037
H'FFC8 H'FFC9 H'FFF0 H'FFF0
7 CMIEB 0 R/W R/W 6 CMIEA 0 R/W 5 OVIE 0 R/W 4 CCLR1 0 R/W 3 CCLR0 0 R/W 2 CKS2 0 R/W
0 1 X Y
1 CKS1 0 R/W
TCR0 TCR1 TCRX TCRY
0 CKS0 0 R/W
TMR0 TMR1 TMRX TMRY
20 2 1 0
CKS2 CKS1 CKS0 10 0 0 1 1 0 1 1 1 0 0 0 0 0 1*1 0 1 OVF OVF OVI OVI 1 0*1 8 2 64 128 1*1 A 0 1 CMFA CMFA CMIA CMIA X 1 0 0 0 0 0 1 1 0 1 1 B 0 1 CMFB CMFB CMIB CMIB 1 Y 0 0 0 0 0 1 0 1 1 1 0 0 1 0 1 0 1 4 256 2048 2 4 TCNT0 1024 2048 A *2 TCNT1 A 1 B 1*1 0*1 0 0 0 0 1*1 8 2 64 32 1024 256 *2
*1 STCR ICKS1 ICKS0 TCR *2 0 TCNT0
12.2.4 TCNT1 1
1038
H'FFCA
0
TCSR0
TMR0
*
*
*
*
1039
H'FFCB
1
TCSR1
TMR1
*
*
*
*
1040
H'FFCC H'FFCD H'FFCE H'FFCF H'FFF2 H'FFF3 H'FFF5 H'FFF6 H'FFF7
A0 A1 B0 B1 AY BY C AX BX
TCORA0 TCORA1 TCORB0 TCORB1 TCORAY TCORBY TCORC TCORAX TCORBX
TMR0 TMR1 TMR0 TMR1 TMRY TMRY TMRX TMRX TMRX
1041
H'FFD0 H'FFD1 H'FFF4 H'FFF4
0 1 X Y
TCNT0 TCNT1 TCNTX TCNTY
TMR0 TMR1 TMRX TMRY
H'FFD3 PWM H'FFD2 PWM
A PWOERA PWM B PWOERB PWM
1042
H'FFD5 PWM H'FFD4 PWM
A PWDPRA PWM B PWDPRB PWM
1043
H'FFD6 PWM
PWSL
PWM
1044
H'FFD7 PWM
PWDR0
PWDR15 PWM
H'FFE0 H'FFE1 H'FFE2 H'FFE3 H'FFE4 H'FFE5 H'FFE6 H'FFE7
A/D A/D A/D A/D A/D A/D A/D A/D
AH AL BH BL CH CL DH DL
ADDRAH ADDRAL ADDRBH ADDRBL ADDRCH ADDRCL ADDRDH ADDRDL
A/D A/D A/D A/D A/D A/D A/D A/D
--
--
--
--
--
--
1045
H'FFE8 A/D
ADCSR A/D
*
*
1046
H'FFE9 A/D
-- --
ADCR
-- -- -- --
A/D
-- -- -- -- -- --
1047
H'FFEA
7 OVF 0 R/W R/(W)*1 6 WT/IT 0 R/W 5 TME 0 R/W 4 PSS 0 R/W
1 TCSR1
3 RST/NMI 0 R/W 2 CKS2 0 R/W
WDT1
1 CKS1 0 R/W
20
0 CKS0 0 R/W
PSS CKS2 CKS1 CKS0 0 0 0 1 1 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 NMI 0 1 NMI 2 64 128 512 2048 8192 32768 131072 SUB 2 SUB 4 SUB 8 SUB 16 SUB 32 SUB 64 SUB 128 SUB 256
*2
0 TCNT PSM
1
TCNT
SUB
PSS
0 1
TCNT H'00 TCNT
0 CPU 1 CPU NMI
TCNT WOVI TCNT
0 1 TME 2 OVF 1 1 TCNT H'FF H'00 0 TCSR OVF 0
*1 *2
0 25.2.3 TCSR
1048
H'FFF0
HICR HIF
1049
H'FFF1
X TCSRX TMRX
*
*
*
*
* 1050
H'FFF1
Y TCSRY TMRY
*
*
*
*
1051
H'FFF1 H'FFF3
KMIMR A KMIMRA
H'FFF2 H'FFF3
R F
TICRR TMRX TICRF TMRX
1052
H'FFF2
6
7 0 R/W R/W
MOS
6 0 R/W 5 0 R/W 4 0 R/W 3 0 R/W
KMPCR
2 0 R/W 1 0 R/W 0 0 R/W
6
KM7PCR KM6PCR KM5PCR KM4PCR KM3PCR KM2PCR KM1PCR KM0PCR
H'FFF4 H'FFFC
7 IDR7 R/W R/W R W
1 2
IDR1 IDR2
6 IDR6 R W 5
HIF HIF
4 IDR4 R W 3 IDR3 R W 2 IDR2 R W 1 IDR1 R W 0 IDR0 R W IDR5 R W
CS Low
IOW
H'FFF5 H'FFFD
7 ODR7 R/W R/W R/W R
1 2
ODR1 ODR2
6 ODR6 R/W R 5
HIF HIF
4 ODR4 R/W R 3 ODR3 R/W R 2 ODR2 R/W R 1 ODR1 R/W R 0 ODR0 R/W R
ODR5 R/W R
HA0 Low CS Low IOR Low ODR
1053
H'FFF5
7 1 R/W 6 1 5 1 4 1
TISR
3 1
TMRY
2 1 1 1 0 IS 0 R/W
0
IVG H8S/2144
H8S/2148
1
VSYNCI/TMIY TMCIY/TMRIY
H'FFF6 H'FFFE
7 DBU 0 R/W R/W R/W R 6
1 2
DBU 0 R/W R
STR1 STR2
5 DBU 0 R/W R
HIF HIF
4 DBU 0 R/W R 3 C/D 0 R R 2 DBU 0 R/W R 1 IBF 0 R R 0 OBF 0 R/(W) R
0 ODR OBF 1 ODR 0
0 IDR 1 IDR
0 1
IDR IDR
1054
H'FFF8 D/A H'FFF9 D/A
0 1
DADR0 D/A DADR1 D/A
H'FFFA D/A
DACR
D/A
*
* *
1055
H'FFFC
I
TCONRI
1056
H'FFFD
7 HOE 0 R/W R/W 6 VOE 0 R/W 5 CLOE 0 R/W
O
4 CBOE 0 R/W
TCONRO
3 HOINV 0 R/W 2 VOINV 0 R/W 1 0 R/W 0 0 R/W CLOINV CBOINV
0
CBLANK CBLANK
1
CBLANK CBLANK
0
CLO CL4
CL1
CL2
CL3 CLAMPO
1
CLO CL4
CL1
CL2
CL3 CLAMPO
0 1
IVO IVO
VSYNCO VSYNCO
0 1
IHO IHO
HSYNCO HSYNCO
0 1
P27/A15/PW15/CBLANK 1 2 3 ROM
P27/A15/PW15
P27/A15/PW15/CBLANK ROM P27/A15/PW15/CBLANK
A15 CBLANK
0 1
P64/FTIC/KIN4/CIN4/CLAMPO P64/FTIC/KIN4/CIN4/CLAMPO
P64/FTIC/KIN4/CIN4 CLAMPO
0 1
P61/FTOA/KIN1/CIN1/VSYNCO P61/FTOA/KIN1/CIN1/VSYNCO
P61/FTOA/KIN1/CIN1 VSYNCO
0 1
P44/TMO1/HIRQ1/HSYNCO P44/TMO1/HIRQ1/HSYNCO
P44/TMO1/HIRQ1 HSYNCO
1057
H'FFFE
S TCONRS
1058
H'FFFF
SEDGR
*2 *1 *1 *1 *1 *1 *1
*2
*1 *2
1059
C.
C.1
I/O
1
23 EXPE 1
R Q D P1nPCR C RP1P 1 R D Q P1nDDR * C WP1D 8
PWM PWM
WP1P
PWM
P1n R Q D P1nDR C WP1
RP1
WP1P PCR WP1D DDR WP1 RP1P PCR RP1 n07 *
C.1
1
1060
C.2
2
23 EXPE 1
R Q D P2nPCR C RP2P 1 R D Q P2nDDR * C WP2D 8
PWM PWM
WP2P
PWM
P2n R Q D P2nDR C WP2
RP2
WP2P PCR WP2D DDR WP2 RP2P PCR RP2 n03 *
C.2
2
P20
P23
1061
23 EXPE IOSE 1
R Q D P2nPCR C RP2P 1 R D Q P2nDDR * C WP2D 8
PWM PWM
WP2P
PWM
P2n R Q D P2nDR C WP2
RP2
WP2P PCR WP2D DDR WP2 RP2P PCR RP2 n46 *
C.3
2
P24
P26
1062
2 EXPE IOSE 1
3
R Q D P27PCR C RP2P WP2P
1 R D Q P27DDR * C WP2D 8
PWM PWM
PWM
P27 R Q D P27DR C 2, 3 WP2
CBLANK CBLANK
RP2
WP2P WP2D WP2 RP2P RP2 *
PCR DDR PCR
C.4
2
P27
1063
C.3
3
2 EXPE HI12E 1 3
R Q D P3nPCR C RP3P CS IOR WP3P
R D Q P3nDDR C WP3D
P3n R Q D P3nDR C WP3
CS IOW
RP3
WP3P PCR WP3D DDR WP3 RP3P PCR RP3 n07
C.5
3
1064
C.4
4
R D Q P40DDR C WP4D SCI2
TxD2/IrTxD
P40 R Q D P40DR C WP4
RP4
8
0
WP4D WP4 RP4
DDR
C.6
4
P40
1065
R D Q P41DDR C WP4D 8
8
0
P41 R Q D P41DR C WP4
RP4
SCI2
RxD2/IrRxD
WP4D WP4 RP4
DDR
C.7
4
P41
1066
R D Q P42DDR C WP4D *1 R Q D P42DR C WP4 SCI2
P42 *2
IIC1
SDA1
RP4
SDA1
WP4D WP4 RP4 *1 *2
DDR
8
0
C.8
4
P42
1067
R D Q P43DDR C WP4D
P43
Q D P43DR C WP4
R
RESOBF2 HIRQ11
RP4
8
1
WP4D WP4 RP4
DDR
HSYNCI
C.9
4
P43
1068
R D Q P44DR C WP4D
HSYNCO
P44
Q D P44DR C WP4
R
RESOBF1 HIRQ1
8
TMO1
1
RP4
WP4D WP4 RP4
DDR
C.10
4
P44
1069
R D Q P45DDR C WP4D
P45
Q D P45DR C WP4
R
RESOBF1 HIRQ12
RP4
8
1
WP4D WP4 RP4
DDR
CSYNCI
C.11
4
P45
1070
C.12
4
P46
P47
1071
C.5
5
R D Q P50DDR C WP5D SCI0
P50 R Q D P50DR C WP5
RP5
WP5D WP5 RP5
DDR
C.13
5
P50
1072
R D Q P51DDR C WP5D SCI0
P51
R Q D P51DR C WP5
RP5
WP5D WP5 RP5
DDR
C.14
5
P51
1073
R D Q P52DDR C WP5D *1 R Q D P52DR C WP5 SCI0
P52 *2
IIC0
SCL0
RP5
SCL0
WP5D WP5 RP5 *1 *2
DDR
C.15
5
P52
1074
C.6
6
R Q D KMPCR C RP6P WP6P
R D Q P6nDDR C WP6D
P6n
R Q D P6nDR C WP6
16
FTCI FTIA FTIB FTID
FRT
RP6 8
HFBACKI VSYNCI VFBACKI
Y
X
TMIX TMIY
KMIMR0 2
3
5
WP6P PCR WP6D DDR WP6 RP6P PCR RP6 n0235
C.16
6
P60
P62
P63
P65
1075
R Q D KMPCR C RP6P WP6P
R D Q P61DDR C WP6D 16
FTOA
FRT
P61
R Q D P61DR C WP6
VSYNCO
RP6
KMIMR1 A/D
WP6P WP6D WP6 RP6P RP6
PCR DDR PCR
C.17
6
P61
1076
R Q D KMPCR C RP6P WP6P
R D Q P64DDR C WP6D
CLAMPO
P64
R Q D P64DR C WP6
RP6 16
FTIC
FRT
KMIMR4 A/D
WP6P WP6D WP6 RP6P RP6
PCR DDR PCR
C.18
6
P64
1077
R Q D KMPCR C RP6P WP6P
R D Q P66DDR C WP6D 16
FTOB
FRT
P66
R Q D P66DR C WP6
RP6
KMIMR6
IRQ6 IRQ6 A/D
WP6P WP6D WP6 RP6P RP6
PCR DDR PCR
C.19
6
P66
1078
R Q D KMPCR C RP6P WP6P
R D Q P67DDR C WP6D 8
TMOX
X
P67
R Q D P67DR C WP6
RP6
KMIMR7
IRQ7 IRQ7 A/D
WP6P WP6D WP6 RP6P RP6
PCR DDR PCR
C.20
6
P67
1079
C.7
7
C.21
7
P70
P75
C.22
7
P76
P77
1080
C.8
8
HI12E EXPE 2 3
R D Q P80DDR C WP8D
P80
R Q D P80DR C WP8
RP8 HIF
HA0
WP8D WP8 RP8
DDR
C.23
8
P80
1081
EXPE CS2E HI12E
2, 3
R D Q P81DDR C WP8D HIF
GA20
P81
R Q D P81DR C WP8
RP8 HIF
CS2
WP8D WP8 RP8
DDR
C.24
8
P81
1082
R D Q P8nDDR C WP8D
P8n
R Q D P8nDR C WP8
RP8
HIF HIFSD WP8D DDR WP8 RP8 n23 23 EXPE HI12E P82
C.25
8
P82
P83
1083
R D Q P84DDR C WP8D SCI1
TxD1
P84 R Q D P84DR C WP8
RP8
IRQ3 IRQ3
WP8D WP8 RP8
DDR
C.26
8
P84
1084
R D Q P85DDR C WP8D
SCI1
P85
R Q D P85DR C WP8
RP8
IRQ4 IRQ4
WP8D WP8 RP8
DDR
C.27
8
P85
1085
R D Q P86DDR C WP8D *1 R Q D P86DR C WP8 SCI1
P86 *2
IIC1
SCL1
RP8
SCL1
WP8D WP8 RP8 *1 *2
DDR
IRQ5 IRQ5
C.28
8
P86
1086
C.9
9
EXPE 2 GA20 HI12E CS2E 3
EXPE ABW
R D Q P90DDR C WP9D
LWR
P90
R Q D P90DR C WP9
RP9 HIF
ECS2
A/D
WP9D WP9 RP9
DDR
IRQ2 IRQ2
C.29
9
P90
1087
R D Q P9nDDR C WP9D
P9n
R Q D P9nDR C WP9
RP9
WP9D DDR WP9 RP9 n12
IRQ1 IRQ0 IRQ1 IRQ0
C.30
9
P91
P92
1088
EXPE HI12E
2
3
EXPE
R D Q P9nDDR C WP9D
RD HWR AS/IOS
P9n
R Q D P9nDR C WP9
RP9 HIF
IOR IOW CS1
WP9D DDR WP9 RP9 n35
2 EXPE HI12E
3
C.31
9
P93
P95
1089
C.32
9
P96
1090
R D Q P97DDR C WP9D *1 EXPE
P97 *2
R Q D P97DR C WP9
WAIT
IIC0
SDA0
RP9
SDA0
WP9D WP9 RP9 *1 *2
DDR
C.33
9
P97
1091
C.10
A
2
EXPE IOSE
R D Q PAnDDR C WPAD
PAn R Q D PAnODR C WPA RPAO
RPA
KMIMR n+8
WPAD DDR ODR WPA RPAO ODR RPA n01
C.34
A
PA0
PA1
1092
2
EXPE IOSE
R D Q PAnDDR C WPAD
*1 PAn *2
R Q D PAnODR C RPAO WPA
RPA
KMIMR n+8
WPAD DDR ODR WPA RPAO ODR RPA n23 *1 *2
C.35
A
PA2
PA3
1093
IICS 2
EXPE IOSE
R D Q PAnDDR C WPAD
*1 PAn *2
R Q D PAnODR C RPAO WPA
RPA
KMIMR n+8
WPAD DDR ODR WPA RPAO ODR RPA n47 *1 *2
C.36
A
PA4
PA7
1094
C.11
B
R D Q PBnDDR C EXPE ABW PBn R Q D PBnODR C RPBO WPB WPBD D0 D1 RESOBF3 4 HIRQ3 HIRQ4
D0 RPB
D1
WPBD DDR ODR WPB RPBO ODR RPB n01
C.37
B
PB0
PB1
1095
2 EXPE CS HI12E
3
R D Q PBnDDR C EXPE ABW PBn R Q D PBnODR C RPBO WPB WPBD D2 D3
D2 RPB
D3
HIF WPBD DDR ODR WPB RPBO ODR RPB n23 CS3 CS4
C.38
B
PB2
PB3
1096
R D Q PBnDDR C EXPE ABW PBn R Q D PBnODR C RPBO WPB WPBD D7 D4
D7 RPB
D4
WPBD WPB RPBO RPB n4
DDR ODR ODR
C.39
B
PB4
PB7
1097
D.
D.1
D.1
MCU
I/O
1 A7 A0 2 2 2 A15 A8 2 2 3 D15 D8 4 2 2 5 2 2 6 2 2 7 2 2 8 2 2 97 WAIT 96 2 EXCL 95 93 2 2 2 2 2
1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 3 (EXPE=1) 3 (EXPE=0) 1 2 2 3 (EXPE=1) 3 (EXPE=0)
L T
T
keep*
keep*
keep*
keep*
A7
A0
A7
A0
L T
T
keep*
keep*
keep*
keep*
A15 A8
A15 A8
T
T
T keep
T keep keep
T keep keep
T keep keep
D15
D8
D15
D8
T
T
keep
T
T
keep
keep
keep
keep
T
T
keep
keep
keep
keep
T
T
T
T
T
T
T
T
keep
keep
keep
keep
T
T
T keep keep
T keep keep
T keep keep [DDR=1] [DDR=0] T
T keep keep EXCL
WAIT
WAIT
T T H T T
[DDR=1] H EXCL [DDR=0] T H H
EXCL EXCL
H
H
AS HWR
RD AS HWR
RD
AS HWR RD 2 2 92 91 2 2 90 LWR A A23 A16 2 2 B D7 D0 2 2
keep T T keep
keep keep
keep keep
keep keep
T
T
H keep keep
H keep keep keep*
H keep keep keep*
H keep keep keep*
LWR
LWR
T
T
keep*
A23 A16
A23 A16
T
T
T keep keep
T keep keep
T keep keep
T keep keep
D7
D0
D7
D0
1098
H L T keep
High Low
DDR 0 PCR ON
1
MOS
DD R DR
DDR *
1099
E.
E.1
1 SYSCR RAME
E .1 Low R ES S TBY 0ns
1
S TBY
RAM
10 R ES
STBY
RES
E.1
2
SYSCR
RAME
1
0
RES Low
RAM
E.2
STBY 100ns RES Low
STBY t RES 100ns tOSC1
E.2
1100
F. ROM
F.1 ROM
R OM 2
F.1 F.1 R OM
ROM
RO M
(CAD
1
(Verify EPROM W S
1
F.1 ROM
F.1 ROM
EPROM ROM *1 *2 *1 *2 F-ZTATTM
1101
F.2 ROM
R OM ZTAT TM EP RO M EP RO M F -ZTATTM F-
1
EP RO M
R OM
2
EP RO M 'FF'
R OM
NOT US ED
3 4 EP RO M
EPROM
EP RO M
2
1102
G.
G.1 H8S/2148 H8S/2144
H8S/2148
H8S/2148 ROM
(5V ,4V ,3V )
HD6432148S
HD6432148S(V)(***)FA 100 HD6432148S(V)(***)TE 100
QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B)
I2C
HD6432148SW
HD6432148S(V)W(***) 100 FA
(5V
,4V
,3V
)
HD6432148S(V)W(***) 100 TE
TQFP(TFP-100B)
F-ZTAT
(5V/4V )
HD64F2148
HD64F2148FA20 HD64F2148TE20
100 100 100 100
QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B)
HD64F2148V
(3V )
HD64F2148VFA10 HD64F2148VTE10
H8S/2147 ROM
(5V ,4V ,3V )
HD6432147S
HD6432147S(V)(***)FA 100 HD6432147S(V)(***)TE 100
IC
2
HD6432147SW
HD6432147S(V)W(***) 100 FA
(5V
,4V
,3V
)
HD6432147S(V)W(***) 100 TE
TQFP(TFP-100B)
H8S/2148
H8S/2148A
F-ZTAT A
(5V/4V )
HD64F2148A
HD64F2148AFA20 HD64F2148ATE20
100 100 100 100 100 100 100 100 100 100 100 100
QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B)
A (3V H8S/2147A F-ZTAT A
(5V/4V )
HD64F2148AV ) HD64F2147A
HD64F2148AVFA10 HD64F2148AVTE10 HD64F2147AFA20 HD64F2147ATE20
HD64F2147AV (3V H8S/2147N H8S/2147N F-ZTAT (5V ) HD64F2147NV (3V ) ) HD64F2147N
HD64F2147AVFA10 HD64F2147AVTE10 HD64F2147NFA20 HD64F2147NTE20 HD64F2147NVFA10 HD64F2147NVTE10
1103
H8S/2144
H8S/2144 ROM F-ZTAT
(5V/4V ) (5V ,4V ,3V )
HD6432144S
HD6432144S(V)(***)FA 100 HD6432144S(V)(***)TE 100
QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B) QFP(FP-100B) TQFP(TFP-100B)
HD64F2144
HD64F2144FA20 HD64F2144TE20
100 100 100 100
HD64F2144V
(3V )
HD64F2144VFA10 HD64F2144VTE10
H8S/2143 ROM H8S/2142 ROM F-ZTAT
(5V/4V ) (5V ,4V ,3V ) (5V ,4V ,3V )
HD6432143S
HD6432143S(V)(***)FA 100 HD6432143S(V)(***)TE 100
HD6432142
HD6432142(***)FA HD6432142(***)TE
100 100 100 100 100 100 100 100 100 100
HD64F2142R
HD64F2142RFA20 HD64F2142RTE20
HD64F2142RV
(3V )
HD64F2142RVFA10 HD64F2142RVTE10
H8S/2144
H8S/2144A
F-ZTAT A
(5V/4V )
HD64F2144A
HD64F2144AFA20 HD64F2144ATE20
A
(3V )
HD64F2144AV
HD64F2144AVFA10 HD64F2144AVTE10
(***)
ROM F-ZTAT 5V/4V 5V I 2C 4V
H8S/2148 F-ZTAT F-ZTAT
1104
H.
H8S /2148 H8S /2144 H8S /2147N H.1 H.2
16.0 0.3 14 75 76
16.0 0.3
Unit: mm
51 50
0.5
100 1 *0.22 0.05 0.20 0.04 25
26
3.05 Max
2.70
0.08 M 1.0
*0.17 0.05 0.15 0.04
1.0 0 - 8 0.5 0.2
0.10
0.12 +0.13 -0.12
*Dimension including the plating thickness Base material dimension
Hitachi Code JEDEC EIAJ Weight (reference value)
FP-100B -- Conforms 1.2 g
H.1
FP-100B
1105
Unit: mm
16.0 0.2 14 75 76
16.0 0.2
51 50
100 1 *0.22 0.05 0.20 0.04 25 0.08 M 1.0
26
*0.17 0.05 0.15 0.04 1.20 Max
1.00
0.5
1.0 0 - 8 0.5 0.1
0.10
0.10 0.10
*Dimension including the plating thickness Base material dimension
Hitachi Code JEDEC EIAJ Weight (reference value)
TFP-100B -- Conforms 0.5 g
H.2
TFP-100B
1106
(c)


▲Up To Search▲   

 
Price & Availability of HD6432147

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X